Staff Profile
Professor Alex Yakovlev
FREng, Professor of Computer System Design
- Email: alex.yakovlev@ncl.ac.uk
- Telephone: +44 (0) 191 208 8184
- Fax: +44 (0) 191 208 8180
- Personal Website: http://www.staff.ncl.ac.uk/alex.yakovlev/
- Address: Electrical and Electronic Engineering
School of Engineering
Merz Court (E4.13)
Newcastle University
Newcastle upon Tyne
NE1 7RU, UK
Background
Roles and Responsibilities
Head of MicroSystems Research Group.
Degree Programme Director:
BEng/MEng Computer Systems Engineering/Microelectronics and Software Engineering (CSE/MSE) from 1999 to 2006
Board of Studies Chairman for Computer Systems Engineering until 2007.
Qualifications
MSc (1979), PhD(1982) from St. Petersburg Electrotechnical University (formerly Leningrad Electrical Engineering Institute - LETI)
DSc (2006) from University of Newcastle upon Tyne
CEng (2015)
Fellowships and Memberships
Fellow of Royal Academy of Engineering (2017), Fellow of IEEE (2016), Fellow of IET (2015)
Languages
English and Russian
Research
Alex is head of the MicroSsystems Group and his profile can be viewed on Google Scholar.
Research Interests
Design of Asynchronous VLSI Systems, Petri Nets and concurrency models in system design, Hardware Description Languages, Low Power Electronics, Energy-Proportional and Energy-Modulated Computing, Energy-Harvesting Electronics, Asynchronous circuits for Analogue Electronics
Machine learning based on automata approaches, Tsetlin automata
CAD Systems for VLSI, Fault Tolerance and Reliability in VLSI, System Timing
"Genetic Evolution" of IP-driven Electronics and IT Technology
My blog on energy-modulated computing:
Our vision paper on Real-Power Computing:
Teaching
Advanced VLSI Systems Design for MSc
Low Power and Asynchronous Systems for MSc
MEng, MSc Project supervision
Publications
- Sokolov D, Khomenko V, Mokhov A, Dubikhin V, Lloyd D, Yakovlev A. Automating the Design of Asynchronous Logic Control for AMS Electronics. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2019, 39(5), 952-965.
- Golubcovs S, Mokhov A, Bystrov A, Sokolov D, Yakovlev A. Generalised Asynchronous Arbiter. In: 2019 19th International Conference on Application of Concurrency to System Design (ACSD). 2019, Aachen, Germany: IEEE.
- Mokhov A, de Gennaro A, Tarawneh G, Wray J, Lukyanov G, Mileiko S, Scott J, Yakovlev A, Brown A. Language and Hardware Acceleration Backend for Graph Processing. In: Daniel Große, Sara Vinco, Hiren Patel, ed. Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2017. Cham: Springer, 2019, pp.71-88.
- Abdulfattah AN, Tsimenidis CC, Al-Jewad BZ, Yakovlev A. Performance Analysis of MICS-based RF Wireless Power Transfer System for Implantable Medical Devices. IEEE Access 2019, 7, 11775-11784.
- Wheeldon A, Morris J, Sokolov D, Yakovlev A. Self-timed, Minimum Latency Circuits for the Internet of Things. Integration 2019, 69, 138-146.
- Bunnam T, Soltan A, Sokolov D, Yakovlev A. An Excitation Time Model for General-purpose Memristance Tuning Circuit. In: 2018 IEEE International Symposium on Circuits and Systems (ISCAS). 2018, Florence, Italy: IEEE.
- Sokolov D, Khomenko V, Yakovlev A, Lloyd D. Design and Verification of Speed-Independent Circuits with Arbitration in Workcraft. In: 24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'18). 2018, Vienna, Austria: IEEE.
- Yakovlev A. Energy current and computing. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 2018, 376(2134), 20170449.
- Rafiev A, Xia F, Iliasov A, Gensh R, Aalsaud A, Romanovsky A, Yakovlev A. Error-Based Metric for Cross-Layer Cut Determination. In: Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2016. 2018, Bremen, Germany: Springer Verlag.
- Beaumont J, Mokhov A, Sokolov D, Yakovlev A. High-level asynchronous concepts at the interface between analogue and digital worlds. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2018, 37(1), 61-74.
- Mokhov A, De Gennaro A, Tarawneh G, Wray J, Lukyanov G, Mileiko S, Scott J, Yakovlev A, Brown A. Language and hardware acceleration backend for graph processing. In: Languages, Design Methods, and Tools for Electronic System Design. 2018, Verona, Italy: Springer Verlag.
- Alrudainy H, Shafik R, Mokhov A, Yakovlev A. Lifetime Reliability Characterization of N/MEMS Used in Power Gating of Digital Integrated Circuits. In: IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). 2018, Cambridge, UK: IEEE.
- Benafa O, Sokolov D, Yakovlev A. Loadable kessels counter. In: 24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 2018, Vienna, Austria: IEEE.
- Aalsaud A, Alrudainy H, Shafik R, Xia F, Yakovlev A. MEMS-Based Runtime Idle Energy Minimization for Bursty Workloads in Heterogeneous Many-Core Systems. In: 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS 2018). 2018, Platja d'Aro, Spain: IEEE.
- Aalsaud A, Rafiev A, Xia F, Shafik R, Yakovlev A. Model-free Runtime Management for Concurrent Workloads on Many-Core Heterogeneous Systems. In: 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS 2018). 2018, Platja d'Aro, Spain: IEEE.
- Li D, Shang D, Xia F, Yakovlev A. Modelling Switched-Capacitor DC-DC Converters with Signal Transition Graphs. In: SMACD 2018 - 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design. 2018, Prague, Czech Republic: Institute of Electrical and Electronics Engineers Inc.
- Karkar A, Mak T, Dahir N, Al-Dujaily R, Tong KF, Yakovlev A. Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface-Wave Interconnects. IEEE Transactions on Emerging Topics in Computing 2018, 6(3), 357-369.
- Donaghy-Spargo C, Yakovlev A. Oliver Heaviside's electromagnetic theory. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 2018, 376(2134), 20180229.
- Al-daloo M, Soltan A, Yakovlev A. Overview study of on-chip interconnect modelling approaches and its trend. In: 7th International Conference on Modern Circuits and Systems Technologies (MOCAST 2018). 2018, Thessaloniki, Greece: IEEE.
- Shafik R, Yakovlev A, Das S. Real-Power Computing. IEEE Transactions on Computers 2018, 67(10), 1445-1461.
- Qiqieh I, Shafik R, Tarawneh G, Sokolov D, Das S, Yakovlev A. Significance-Driven Logic Compression for Energy-Efficient Multiplier Design. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2018, 8(3), 417-430.
- Rafiev A, Al-Hayanni MAN, Xia F, Shafik R, Romanovsky A, Yakovlev A. Speedup and Power Scaling Models for Heterogeneous Many-Core Systems. IEEE Transactions on Multi-Scale Computing Systems 2018, 4(3), 436-449.
- Zhou Y, Shi C, Deng Z, Yakovlev A. Synthesis and optimization of asynchronous dual rail encoded circuits based on partial acknowledgement. In: 2017 IEEE 12th International Conference on ASIC (ASICON). 2018, Guiyang, China: IEEE Computer Society.
- Agyeman MO, Vien QT, Ahmadinia A, Yakovlev A, Tong KF, Mak T. A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design. IEEE Transactions on Parallel and Distributed Systems 2017, 28(2), 359-373.
- Burns F, Sokolov D, Yakovlev A. A Structured Visual Approach to GALS Modelling and Verification of Communication Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2017, 36(6), 938-951.
- Dubikhin V, Myers C, Sokolov D, Syranidis I, Yakovlev A. Advances in Formal Methods for the Design of Analog/Mixed-Signal Systems. In: DAC '17 Proceedings of the 54th Annual Design Automation Conference. 2017, Austin, TX, USA: Association for Computing Machinery.
- Al-Maaitah K, Tarawneh G, Soltan A, Qiqieh I, Yakovlev A. Approximate Adder Segmentation Technique and Significance-Driven Error Correction. In: 27th International Symposium on Power And Timing Modeling, Optimization and Simulation (PATMOS). 2017, Thessaloniki, Greece: IEEE.
- Gensh R, Rafiev A, Romanovsky A, Garcia A, Xia F, Yakovlev A. Architecting Holistic Fault Tolerance. In: 18th IEEE International Symposium on High-Assurance Systems Engineering (HASE 2017). 2017, Singapore: IEEE Computer Society.
- Mokhov A, Sokolov D, Khomenko V, Yakovlev A. Asynchronous Arbitration Primitives for New Generation of Circuits and Systems. In: New Generation of Circuits and Systems Conference (NGCAS). 2017, Genova, Italy: IEEE.
- Sokolov D, Dubikhin V, Khomenko V, Lloyd D, Mokhov A, Yakovlev A. Benefits of Asynchronous Control for Analog Electronics: Multiphase Buck Case Study. In: Design, Automation & Test in Europe Conference & Exhibition (DATE). 2017, Lausanne, Switzerland: IEEE.
- Al-Maaitah K, Qiqieh I, Soltan A, Yakovlev A. Configurable-Accuracy Approximate Adder Design with Light-Weight Fast Convergence Error Recovery Circuit. In: 2017 IEEE Jordan Conference on Applied Electrical Engineering and Computing Technologies (AEECT). 2017, Amman, Jordan: IEEE.
- Karkar AJM, Mak T, Yakovlev A. Efficient surface-wave-based network-on-chip architecture for spiking-neural-network. In: 2017 Annual Conference on New Trends in Information and Communications Technology Applications, NTICT 2017. 2017, Institute of Electrical and Electronics Engineers Inc.
- Fernandes J, Sokolov D, Yakovlev A. Elastic Bundles: Modelling and Synthesis of Asynchronous Circuits with Granular Rigidity. In: 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 2017, San Diego, California, USA: IEEE.
- Soltan A, Radwan AG, Yakovlev A. Elmore delay in the fractional order domain. In: European Conference on Circuit Theory and Design (ECCTD). 2017, Catania, Italy: IEEE.
- Qiqieh I, Shafik R, Tarawneh G, Sokolov D, Yakovlev A. Energy-Efficient Approximate Multiplier Design using Bit Significance-Driven Logic Compression. In: 2017 Design, Automation and Test in Europe (DATE). 2017, Lausanne, Switzerland: IEEE.
- Qiqieh I, Shafik R, Tarawneh G, Sokolov D, Yakovlev A. Energy-Efficient Approximate Wallace Tree Multiplier using Significance-Driven Logic Compression. In: IEEE International Workshop on Signal Processing Systems (SiPS). 2017, Lorient, France: IEEE.
- Agyeman MO, Zong W, Yakovlev A, Tong K-F, Mak T. Extending the performance of hybrid NoCs beyond the limitations of network heterogeneity. Journal of Low Power Electronics and Applications 2017, 7(2), 8.
- Khomenko V, Mokhov A, Sokolov D, Yakovlev A. Formal Design and Verification of an Asynchronous SRAM Controller. In: 17th International Conference on Application of Concurrency to System Design (ACSD 2017). 2017, Zaragoza, Spain: IEEE Computing Society.
- Mokhov A, De Gennaro A, Tarawneh G, Wray J, Lukyanov G, Mileiko S, Scott J, Yakovlev A, Brown A. Language and Hardware Acceleration Backend for Graph Processing. In: FDL 2017 Forum on Specification & Design Languages. 2017, Verona, Italy: IEEE.
- Gensh R, Rafiev A, Xia F, Romanovsky A, Yakovlev A. Modelling for systems with holistic fault tolerance. In: 9th International Workshop on Software Engineering for Resilient Systems (SERENE 2017). 2017, Geneva, Switzerland: Springer Verlag.
- Kushnerov A, Liang TJP, Yakovlev A. Multiphase ternary Fibonacci 2D switched capacitor converters. In: 2017 European Conference on Circuit Theory and Design (ECCTD). 2017, Catania, Italy: IEEE.
- Luo J, Nikolic K, Evans B, Dong N, Sun X, Andras P, Yakovlev A, Degenaar P. Optogenetics in Silicon: A Neural Processor for Predicting Optically Active Neural Networks. IEEE Transactions on Biomedical Circuits and Systems 2017, 11(1), 15-27.
- Wheeldon A, Morris J, Sokolov D, Yakovlev A. Power proportional adder design for Internet of Things in a 65nm process. In: 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). 2017, Thessaloniki, Greece: IEEE.
- Tarawneh G, Mokhov A, Naylor M, Rast A, Moore SW, Thomas DB, Yakovlev A, Brown A. Programming Model to Develop Supercomputer Combinatorial Solvers. In: Tenth International Workshop on Parallel Programming Models and Systems Software. 2017, Bristol, UK: IEEE.
- Bunnam T, Soltan A, Sokolov D, Yakovlev A. Pulse controlled memristor-based delay element. In: 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). 2017, Thessaloniki, Greece: IEEE.
- Krstic M, Fan X, Babic M, Grass E, Bjerregaard T, Yakovlev A. Reducing switching noise effects by advanced clock management. In: Proceedings of the 2017 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMCCompo 2017). 2017, St. Petersburg, Russia: IEEE.
- Rafiev A, Mokhov A, Xia F, Iliasov A, Gensh R, Aalsaud A, Romanovsky A, Yakovlev A. Resource-Driven Modelling for Managing Model Fidelity. In: Molnos A; Fabre C, ed. Model-Implementation Fidelity in Cyber Physical System Design. Cham, Switzerland: Springer International Publishing AG, 2017, pp.25-55.
- Rafiev A, Xia F, Iliasov A, Gensh R, Aalsaud A, Romanovsky A, Yakovlev A. Selective abstraction and stochastic methods for scalable power modelling of heterogeneous systems. In: Forum on Specification and Design Languages (FDL). 2017, Bremen, Germany: IEEE Computer Society.
- Rafiev A, Xia F, Iliasov A, Romanovsky A, Yakovlev A. Selective Abstraction for Estimating Extra-Functional Properties in Networks-on-Chips using ArchOn Framework. In: 17th International Conference on Application of Concurrency to System Design (ACSD). 2017, Zaragoza, Spain: IEEE.
- Mileiko S, Kushnerov A, Sokolov D, Yakovlev A. Self-timed control of multiphase switched capacitor converters. In: 2017 European Conference on Circuit Theory and Design (ECCTD). 2017, Catania, Italy: IEEE.
- Mileiko S, Kushnerov A, Sokolov D, Yakovlev A. Self-timed control of two-phase switched capacitor converters. In: 2016 IEEE International Conference on the Science of Electrical Engineering, ICSEE 2016. 2017, Eilat, Israel: Institute of Electrical and Electronics Engineers Inc.
- Burke D, Jenkus D, Qiqieh I, Das S, Shafik R, Yakovlev A. Significance-Driven Adaptive Approximate Computing for Energy-Efficient Image Processing Applications. In: CODES '17 12th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion. 2017, Seoul, Korea.
- Burke D, Jenkus D, Qiqieh I, Das S, Shafik R, Yakovlev A. Significance-Driven Adaptive Approximate Computing for Energy-Efficient Image Processing Applications. In: 12th International Conference on Hardware/Software Codesign and System Synthesis: Special Session. 2017, Seoul, Republic of Korea: ACM.
- Burke D, Jenkus D, Qiqieh I, Shafik R, Das S, Yakovlev A. Significance-driven adaptive approximate computing for energy-efficient image processing applications: special session paper. In: Proceedings of the 12th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion (CODES 2017). 2017, Seoul, Republic of Korea: ACM.
- Al-Hayanni M, Shafik R, Rafiev A, Xia F, Yakovlev A. Speedup and Parallelization Models for Energy-Efficient Many-Core Systems Using Performance Counters. In: 2017 International Conference on High Performance Computing & Simulation. 2017, Genoa, Italy: IEEE.
- Alrudainy HM, Mokhov A, Xia F, Yakovlev A. Ultra-Low Energy Data Driven Computing Using Asynchronous Micropipelines and Nano-Electro-Mechanical Relays. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2017, Bochum, Germany: IEEE Computer Society.
- Abdulfattah AN, Tsimenidis CC, Yakovlev A. Ultra-low power m-sequence code generator for body sensor node applications. Integration 2019, 65, 231-240.
- Morris J, Prabhat P, Myers J, Yakovlev A. Unconventional Layout Techniques for a High Performance, Low Variability Subthreshold Standard Cell Library. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI. 2017, Bochum, Germany: IEEE Computer Society.
- Xia F, Rafiev A, Aalsaud A, Al-Hayanni M, Davis J, Levine J, Mokhov A, Romanovsky A, Shafik R, Yakovlev A, Yang S. Voltage, Throughput, Power, Reliability and Multicore Scaling. IEEE Computer Magazine 2017, 50(8), 34-45.
- Khomenko V, Sokolov D, Mokhov A, Yakovlev A. WAITX: An Arbiter for Non-Persistent Signals. In: 23rd IEEE International Symposium on Asynchronous Circuits and Systems. 2017, San Diego, California, USA: IEEE Computing Society.
- Cortadella J, Moreno A, Sokolov D, Yakovlev A, Lloyd D. Waveform Transition Graphs: A Designer-Friendly Formalism for Asynchronous Behaviours. In: 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 2017, San Diego, California, USA: IEEE.
- Ogweno A, Degenaar P, Khomenko V, Yakovlev A. A Fixed Window Level Crossing ADC with Activity Dependent Power Dissipation. In: 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS). 2016, Vancouver, BC, Canada: IEEE.
- Xu Y, Shang D, Xia F, Yakovlev A. A Smart All-Digital Charge to Digital Converter. In: 23rd IEEE International Conference on Electronics Circuits and Systems. 2016, Monte Carlo, Monaco: Institute of Electrical and Electronics Engineers Inc.
- Karkar A, Mak T, Tong KF, Yakovlev A. A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores. IEEE Circuits and Systems Magazine 2016, 16(1), 58-72.
- Burns F, Sokolov D, Yakovlev A. Analysis and Verification of Communication Fabrics. 2016. ICC, Dresden: Design, Automation and Test in Europe (DATE'16).
- Gensh R, Rafiev a, Romanovsky A, Garcia A, Xia F, Yakovlev A. Architecting Holistic Fault Tolerance. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2016. School of Computing Science Technical Report Series 1505.
- Burke D, Shafik RA, Yakovlev A. Challenges and opportunities in research and education of heterogeneous many-core applications. In: 2016 11th European Workshop on Microelectronics Education, EWME 2016. 2016, Southampton, UK: Institute of Electrical and Electronics Engineers Inc.
- Benafa O, Ogweno A, Shang DL, Yakovlev A. Design of a DCO based on Worst-Case Delay of a Self-Timed Counter and a Digitally controllable Delay Path. In: 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS). 2016, Vancouver, BC, Canada: IEEE.
- Dubikhin V, Myers CJ, Yakovlev A, Sokolov D. Design of Mixed-Signal Systems With Asynchronous Control. IEEE Design & Test 2016, 33(5), 44-55.
- Al-Daloo M, Yakovlev A, Halak B. Energy Efficient Bootstrapped CMOS Inverter for Ultra-Low Power Applications. In: 23rd IEEE International Conference on Electronics Circuits and Systems. 2016, Monte Carlo, Monaco: Institute of Electrical and Electronics Engineers.
- Al-Hayanni M, Rafiev A, Shafik RA, Xia F, Yakovlev A. Extended Power and Energy Normalized Performance Models for Many-Core Systems. Newcastle upon Tyne: School of Electrical and Electronic Engineering, Newcastle University, 2016. ASYNC Technical Report Series NCL-EEE-MICRO-TR-2016-198 198.
- Gao K, Shang D, Xia F, Yakovlev A. Fast capacitance-to-digital converter with internal reference. In: IEEE Biomedical Circuits and Systems Conference (BioCAS). 2016, Shanghai: IEEE.
- Tarawneh G, Mokhov A, Yakovlev A. Formal verification of clock domain crossing using gate-level models of metastable flip-flops. In: 2016 Design Automation & Test in Europe Conference & Exhibition (DATE). 2016, Dresden, Germany: IEEE.
- Shang D, Xu Y, Gao K, Xia F, Yakovlev A. Low power voltage sensing through capacitance to digital conversion. In: 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). 2016, Kosice, Slovakia: IEEE.
- Shang DL, Xu YQ, Gao KY, Xia F, Yakovlev A. Low Power Voltage Sensing Through Capacitance to Digital Conversion. In: 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). 2016, Košice, Slovakia: IEEE.
- Alrudainy H, Mokhov A, Dahir NS, Yakovlev A. MEMS-Based Power Delivery Control for Bursty Applications. In: 2016 IEEE International Symposium on Circuits and Systems (ISCAS). 2016, Montreal, Canada: IEEE.
- Gensh R, Romanovsky A, Yakovlev A. On Structuring Holistic Fault Tolerance. In: 15th International Conference on Modularity 2016. 2016, Malaga, Spain: ACM.
- Aalsaud A, Shafik R, Rafiev A, Xia F, Yang S, Yakovlev A. Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems. In: Proceedings of the 2016 International Symposium on Low Power Electronics and Design (ISLPED 16). 2016, San Franciso Airport, CA, USA: ACM.
- Kushnerov A, Yakovlev A. Stacking Voltage-Controlled Oscillators: Analysis and Application. In: 23rd IEEE International Conference on Electronics Circuits and Systems. 2016, Monte Carlo, Monaco: IEEE.
- Abdulfattah AN, Tsimenidis CC, Yakovlev A. Subthreshold-based m-sequence code generator for ultra low-power body sensor nodes. In: 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). 2016, Bremen, Germany: Institute of Electrical and Electronics Engineers.
- Iliasov A, Rafiev A, Xia F, Gensh R, Romanovsky A, Yakovlev A. A formal specification and prototyping language for multi-core system management. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2015. School of Computing Science Technical Report Series 1445.
- Iliasov A, Rafiev A, Xia F, Gensh R, Romanovsky A, Yakovlev A. A formal specification and prototyping language for multi-core system management. In: 23rd Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2015). 2015, Turku: IEEE.
- Kushnerov A, Yakovlev A. A least squares method applied to multiphase switched capacitor converters. In: 2015 European Conference on Circuit Theory and Design (ECCTD). 2015, Trondheim, Norway: IEEE.
- Shang DL, Benafa O, Xia F, Xu YQ, Yakovlev A. An Elastic Timer for Wide Dynamic Working Range. In: 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS). 2015, Grenoble, France: IEEE.
- Yakovlev A. Chapter 13: Enabling Survival Instincts in Electronic Systems: An Energy Perspective. In: Wayne Luk and George A. Constantinides, ed. Transforming Reconfigurable Systems: A Festschrift Celebrating the 60th Birthday of Professor Peter Cheung. London, UK: Imperial College Press, 2015, pp.237-263.
- Beaumont J, Mokhov A, Sokolov D, Yakovlev A. Compositional design of asynchronous circuits from behavioural concepts. In: 2015 ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE). 2015, Austin, Texas: ACM/IEEE.
- Sokolov D, Khomenko V, Mokhov A, Yakovlev A, Lloyd D. Design and Verification of Speed-Independent Multiphase Buck Controller. In: 21st IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'15). 2015, Mountain View, Silicon Valley, California, USA: IEEE Computing Society Press.
- Sokolov D, Khomenko V, Mokhov A, Yakovlev A, Lloyd D. Design and Verification of Speed-Independent Multiphase Buck Controller. In: 21st IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2015). 2015, Mountain View, California: IEEE.
- Guido JS, Yakovlev A. Design of Self-Timed Reconfigurable Controllers for Parallel Synchronization via Wagging. IEEE Transactions on Very Large Scale Integrated (VLSI) Systems 2015, 23(2), 292-305.
- Gensh R, Romanovsky A, Yakovlev A. Engineering Cross-Layer Fault Tolerance in Many-Core Systems. In: 7th International Workshop on Software Engineering for Resilient Systems. 2015, Paris, France: Springer International Publishing.
- Gensh R, Romanovsky A, Yakovlev A. Engineering Cross-Layer Fault Tolerance in Many-Core Systems. In: 7th International Workshop, SERENE-2015. 2015, Paris, France: Springer.
- Gensh R, Aalsaud A, Rafiev A, Xia F, Iliasov A, Romanovsky A, Yakovlev A. Experiments with Odroid-XU3 board. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2015. School of Computing Science Technical Report Series 1471.
- Burns F, Sokolov D, Yakovlev A. GALS synthesis and verification for xMAS models. In: DATE '15 Design, Automation & Test in Europe Conference & Exhibition. 2015, Grenoble, France: EDA Consortium.
- Burns F, Sokolov D, Yakovlev A. GALS synthesis and verification for xMAS models. In: Proceedings of the Design, Automation and Test in Europe (DATE'15). 2015, Grenoble, France: Association for Computing Machinery.
- Burns F, Sokolov D, Yakovlev A. GALS synthesis and verification for xMAS models. In: Design And Test Europe (DATE). 2015, Grenoble, France: IEEE.
- Karkar A, Tong K-F, Mak T, Yakovlev A. Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting. In: Design, Automation & Test in Europe Conference & Exhibition (DATE). 2015, Grenoble, France: EDAA.
- Karkar A, Tong K-F, Mak T, Yakovlev A. Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting. In: Design, Automation and Test in Europe Conference & Exhibition (DATE) 2015. 2015, Grenoble, France: Institute of Electrical and Electronics Engineers Inc.
- Agyeman MO, Zong W, Wan J-X, Yakovlev A, Tong K, Mak T. Novel Hybrid Wired-Wireless Network-on-Chip Architectures: Transducer and Communication Fabric Design. In: 2015 9th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2015. 2015, Vancouver, BC, Canada: Association for Computing Machinery, Inc.
- Spargo CM, Yakovlev A. Oliver Heaviside FRS: Newcastle upon Tyne 1868-1874. In: IET History of Technology Network: Conference on the history of power and engineering. 2015, Newcastle upon Tyne, UK: Institution of Engineering and Technology.
- Yakovlev A, Kushnerov A, Mokhov A, Ramezani R. On hyperbolic laws of capacitor discharge through self-timed digital loads. International Journal of Circuit Theory and Applications 2015, 43(10), 1243-1262.
- Agyeman MO, Wan JX, Vien QT, Zong W, Yakovlev A, Tong K, Mak T. On the Design of Reliable Hybrid Wired-Wireless Network-on-Chip Architectures. In: 2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). 2015, Turin, Italy: IEEE.
- Mokhov A, Khomenko V, Sokolov D, Yakovlev A. Opportunistic Merge Element. In: 21st IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'15). 2015, Mountain View, Silicon Valley, California, USA: IEEE Computing Society Press.
- Mokhov A, Khomenko V, Sokolov D, Yakovlev A. Opportunistic Merge Element. Newcastle upon Tyne, UK: Newcastle University, 2015. NCL-EEE-MICRO-TR-2015-196.
- Rafiev A, Xia F, Iliasov A, Gensh R, Aalsaud A, Romanovsky A, Yakovlev A. Order Graphs and Cross-Layer Parametric Significance-driven Modelling. In: 15th International Conference on Application of Concurrency to System Design (ACSD'15). 2015, Brussels, Belgium: IEEE Computer Society.
- Fernandes J, Koutny M, Mikulski L, Pietkiewicz-Koutny M, Sokolov D, Yakovlev A. Persistent and Non-violent Steps and the Design of GALS Systems. Fundamenta Informaticae 2015, 137(1), 143-170.
- Halak B, Murphy J, Yakovlev A. Power Balanced Circuits for Leakage-Power-Attacks Resilient Design. In: Science and Information Conference (SAI) 2015. 2015, London, UK: Institute of Electrical and Electronics Engineers.
- Ogweno A, Yakovlev A, Degenaar P. Power Gating in Asynchronous Micropiplines for Low Power Data Driven Computing. In: 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) 2015. 2015, Glasgow, UK: Institute of Electrical and Electronics Engineers.
- Liu Q, Mak T, Zhang T, Niu X, Luk W, Yakovlev A. Power-Adaptive Computing System Design for Solar-Energy-Powered Embedded Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2015, 23(8), 1402-1414.
- Rafiev A, Xia F, Iliasov A, Gensh R, Aalsaud A, Romanovsky A, Yakovlev A. Power-proportional modelling fidelity. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2015. School of Computing Science Technical Report Series 1443.
- Liu B, Fernandez F, Gielen G, Karkar A, Yakovlev A, Grout V. SMAS: A Generalized and Efficient Framework for Computationally Expensive Electronic Design Optimization Problems. In: Computational Intelligence in Analog and Mixed-Signal (AMS) and Radio Frequency (RF) Circuit Design. Springer, 2015, pp.251-275.
- Rafiev A, Iliasov A, Romanovsky A, Mokhov A, Xia F, Yakovlev A. Studying the Interplay of Concurrency, Performance, Energy and Reliability with ArchOn - An Architecture-Open Resource-Driven Cross-Layer Modelling Framework. In: 14th International Conference on Application of Concurrency to System Design (ACSD 2014). 2015, Tunis, Tunisia: IEEE Computer Society.
- Gao K, Xu Y, Shang D, Xia F, Yakovlev A. Wideband Dynamic Voltage Sensing Mechanism for EH Systems. In: Power and Timing Modeling, Optimization and Simulation (PATMOS), 2015 25th International Workshop on. 2015, Salvador, Bahia, Brazil: IEEE.
- Alrudainy H, Mokhov A, Yakovlev A. A scalable physical model for Nano-Electro-Mechanical relays. In: 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2014). 2014, Palma de Mallorca, Spain: IEEE.
- Rafiev A, Iliasov A, Romanovsky A, Mokhov A, Xia F, Yakovlev A. ArchOn: Architecture-open Resource-driven Cross-layer Modelling Framework. In: ES4CPS@DATE 2014 - International Workshop on Engineering Simulations for Cyber-Physical Systems. 2014, Dresden, Germany.
- Shang D, Zhang X, Xia F, Yakovlev A. Asynchronous design for new on-chip wide dynamic range power electronics. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. 2014, Dresden, Germany: IEEE.
- Shang D, Zhang X, Xia F, Yakovlev A. Asynchronous design for new on-chip wide dynamic range power electronics. In: 2014 Design, Automation and Test in Europe Conference and Exhibition (DATE). 2014, Dresden, Germany: IEEE.
- Low HS, Shang D, Xia F, Yakovlev A. Asynchronously assisted FPGA for variability. In: 2014 24th International Conference on Field Programmable Logic and Applications (FPL). 2014, Munich, Germany: IEEE. In Preparation.
- Dahir N, Tarawneh G, Mak T, Al-Dujaily R, Yakovlev A. Design and Implementation of Dynamic Thermal-Adaptive Routing Strategy for Networks-on-Chip. In: 22nd Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP. 2014, Turin, Italy: IEEE.
- Mokhov A, Rykunov M, Sokolov D, Yakovlev A. Design of Processors with Reconfigurable Microarchitecture. Journal of Low Power Electronics and Applications 2014, 4(1), 26-43.
- Tarawneh G, Yakovlev A, Mak T. Eliminating Synchronization Latency Using Sequenced Latching. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2014, 22(2), 408-419.
- Baz A, Shang D, Xia F, Gu X, Yakovlev A. Energy efficiency of micropipelines under wide dynamic supply voltages. In: Faible Tension Faible Consommation (FTFC), 2014 IEEE. 2014, Monaco, Monaco: IEEE.
- Sokolov D, Yakovlev A. GALS Partitioning by Behavioural Decoupling Expressed in Petri Nets. In: Asynchronous Circuits and Systems (ASYNC), 2014 20th IEEE International Symposium on. 2014, Potsdam, Germany: IEEE.
- Karkar A, Dahir N, Al-Dujaily R, Tong K, Mak T, Yakovlev A. Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip. In: Design, Automation and Test in Europe Conference and Exhibition (DATE). 2014, Dresden: IEEE.
- Karkar A, Dahir N, Al-Dujaily R, Tong K, Mak T, Yakovlev A. Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip. In: Design, Automation and Test in Europe Conference and Exhibition (DATE 2014). 2014, Dresden, Germany: IEEE.
- Dahir NS, Mak T, Xia F, Yakovlev A. Modelling and Tools for Power Supply Variations Analysis in Networks-on-Chip. IEEE Transactions on Computers 2014, 63(3), 679-690.
- Wu M, Karkar A, Liu B, Yakovlev A, Gielen G, Grout V. Network on Chip optimization based on surrogate model assisted evolutionary algorithms. In: Evolutionary Computation (CEC), 2014 IEEE Congress on. 2014, Beijing, China: IEEE.
- Wu MY, Karkar A, Liu B, Yakovlev A, Gielen G, Grout V. Network on Chip Optimization Based on Surrogate Model Assisted Evolutionary Algorithms. In: 2014 IEEE Congress on Evolutionary Computation. 2014, Beijing, China: IEEE.
- Rafiev A, Iliasov A, Romanovsky A, Mokhov A, Xia F, Yakovlev A. Resource-driven Modelling of Complex Digital Systems with Uncertainty. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2014. School of Computing Science Technical Report Series 1413.
- Al-Dujaily R, Mak T, Xia F, Yakovlev A, Palesi M. Run-Time Deadlock Detection. In: M. Palesi and M. Daneshtalab, ed. Routing Algorithms in Network-on-Chip. New York, NY, USA: Springer Science+Business Media, 2014, pp.41-68.
- Rafiev A, Iliasov A, Romanovsky A, Mokhov A, Xia F, Yakovlev A. Studying the Interplay of Concurrency, Performance, Energy and Reliability with ArchOn – an Architecture-open Resource-driven Cross-layer Modelling Framework. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2014. School of Computing Science Technical Report Series 1408.
- Mokhov A, Iliasov A, Sokolov D, Rykunov M, Yakovlev A, Romanovsky A. Synthesis of Processor Instruction Sets from High-Level ISA Specifications. IEEE Transactions on Computers 2014, 63(6), 1552-1566.
- Dahir N, Al-Dujaily R, Mak T, Yakovlev A. Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks. ACM Transactions on Embedded Computing Systems (TECS) 2014, 13(4s), 139.
- Sokolov D, Mokhov A, Yakovlev A, Lloyd D. Towards asynchronous power management. In: Faible Tension Faible Consommation (FTFC 2014). 2014, Monaco: IEEE.
- Koutny M, Haddad S, Yakovlev A, ed. Transactions on petri nets and other models of concurrency IX. Heidelberg: Springer Verlag, 2014.
- Marakhovsky VB, Rozenblyum LYa, Yakovlev AV. Моделирование параллельных процессов. Сети Петри. [Modelling Concurrent Processes. Petri nets] . Saint Petersburg: СПб: Профессиональная литература, АйТи-Подготовка (Professional Literature, www.profliteratura.ru), 2014.
- Tarawneh G, Yakovlev A. Adaptive Synchronization for DVFS Applications. In: INTEGRATED CIRCUIT AND SYSTEM DESIGN: POWER AND TIMING MODELING, OPTIMIZATION AND SIMULATION. 2013, HEIDELBERGER PLATZ 3, D-14197 BERLIN, GERMANY: SPRINGER-VERLAG BERLIN.
- Jameson A, Chester EG, Yakovlev A. Address event representation neuromorphics to epiretinal colour vision. Electronics Letters 2013, 49(3), 173-174.
- Yakovlev A, Vivet P, Renaudin M. Advances in Asynchronous logic: from Principles to GALS & NoC, Recent Industry Applications, and Commercial CAD tools. In: Conference on Design, Automation and Test in Europe (DATE '13). 2013, Grenoble, France: EDAA Consortium.
- Ramezani R, Yakovlev A. Capacitor discharging through asynchronous circuit switching. In: Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on. 2013, Santa Monica, CA, USA: IEEE.
- Ramezani R, Yakovlev A. Capacitor Discharging Through Asynchronous Circuit Switching. In: 2013 IEEE 19TH INTERNATIONAL SYMPOSIUM ON ASYNCHRONOUS CIRCUITS AND SYSTEMS (ASYNC). 2013, 345 E 47TH ST, NEW YORK, NY 10017 USA: IEEE.
- Golubcovs S, Shang DL, Xia F, Mokhov A, Yakovlev A. Concurrent Multiresource Arbiter: Design and Applications. IEEE Transactions on Computers 2013, 62(1), 31-44.
- Rykunov M, Mokhov A, Sokolov D, Yakovlev A, Koelmans A. Design-for-Adaptivity of Microarchitectures. In: 24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP 2013). 2013, Washington, DC, USA: IEEE.
- Rykunov M, Mokhov A, Sokolov D, Yakovlev A, Koelmans A. Design-for-Adaptivity of Microarchitectures. In: PROCEEDINGS OF THE 2013 IEEE 24TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 13). 2013, 345 E 47TH ST, NEW YORK, NY 10017 USA: IEEE.
- Al-Dujaily R, Mak T, Lam K-P, Xia F, Yakovlev A, Poon C-S. Dynamic On-Chip Thermal Optimization for Three-Dimensional Networks-On-Chip. The Computer Journal 2013, 56(6), 756-770.
- Al-Dujaily R, Dahir N, Mak T, Xia F, Yakovlev A. Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems. ACM Transactions on Design Automation of Electronic Systems (TODAES) 2013, 19(1), 2.1-2.27.
- Dahir N, Mak T, Al-Dujaily R, Yakovlev A. Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip. IET Computers & Digital Techniques 2013, 7(6), 255-263.
- Karkar AJ, Turner JE, Tong K, Al-Dujaily R, Mak T, Yakovlev A, Xia F. Hybrid wire-surface wave interconnects for next-generation networks-on-chip. IET Computers & Digital Techniques 2013, 7(6), 294-303.
- Ayala JL, Shang D, Yakovlev A, ed. Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 22nd Int. Workshop, PATMOS 2012. Springer-Verlag, 2013.
- Grivas AK, Mak T, Yakovlev A, Wray J. Novel Multi-Layer Network Decomposition Boosting Acceleration of Multi-core Algorithms. In: 24th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). 2013, Washington, DC, USA: IEEE.
- Grivas AK, Mak T, Yakovlev A, Wray J. Novel Multi-Layer Network Decomposition Boosting Acceleration of Multi-core Algorithms. In: PROCEEDINGS OF THE 2013 IEEE 24TH INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 13). 2013, 345 E 47TH ST, NEW YORK, NY 10017 USA: IEEE.
- Fernandes J, Koutny M, Mikulski L, Pietkiewicz-Kounty M, Sokolov D, Yakovlev A. Persistent and Nonviolent Steps and the Design of GALS Systems. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2013. School of Computing Science Technical Report Series 1400.
- Docherty J, Bystrov A, Yakovlev A. Simulation testing of a real-time heuristic scheduler with automotive benchmarks. International Journal of Simulation: Systems, Science and Technology 2013, 14(3), 1-11.
- Docherty J, Bystrov A, Yakovlev A. Simulation Testing of a Real-Time Heuristic Scheduler with Automotive Benchmarks. In: 15th IEEE International Conference on Computer Modelling and Simulation (UKSim). 2013, Cambridge, UK.
- Docherty J, Bystrov A, Yakovlev A. Simulation Testing of a Real-Time Heuristic Scheduler with Automotive Benchmarks. In: UKSIM-AMSS 15TH INTERNATIONAL CONFERENCE ON COMPUTER MODELLING AND SIMULATION (UKSIM 2013). 2013, 345 E 47TH ST, NEW YORK, NY 10017 USA: IEEE.
- Fernandes J, Koutny M, Pietkiewicz-Koutny M, Sokolov D, Yakovlev A. Step Persistence in the Design of GALS Systems. In: Application and Theory of Petri Nets and Concurrency - 34th International Conference, PETRI NETS 2013. 2013, Milan, Italy: Springer.
- Mokhov A, Rykunov M, Sokolov D, Yakovlev A. Towards reconfigurable processors for power-proportional computing. In: Faible Tension Faible Consommation (FTFC 2013). 2013, Paris, France: IEEE.
- Luo JW, Degenaar P, Coapes G, Yakovlev A, Mak T, Andras P. Towards Reliable Hybrid Bio-Silicon Integration Using Novel Adaptive Control System. In: 2013 IEEE International Sysmposium on Circuits and Systems (ISCAS). 2013, Beijing, China: IEEE.
- Koutny M, van-der-Aalst WMP, Yakovlev A, ed. Transactions on Petri Nets and Other Models of Concurrency VIII. Germany: Springer, 2013.
- Burns F, Baz A, Shang D, Yakovlev A. Variability analysis of Self-Timed SRAM robustness. In: 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). 2013, Karlsruhe, Germany: IEEE.
- Ramezani R, Yakovlev A, Xia F, Murphy J, Shang D. Voltage Sensing Using an Asynchronous Charge-to-Digital Converter for Energy-Autonomous Environments. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 2013, 3(1), 35-44.
- Shang D, Xia F, Yakovlev A. Wide-range, reference free, on-chip voltage sensor for variable Vdd operations. In: 2013 IEEE International Symposium on Circuits and Systems (ISCAS). 2013, Beijing, China: IEEE.
- Zhang XF, Shang DL, Xia F, Low HS, Yakovlev A. A Hybrid Power Delivery Method for Asynchronous Loads in Energy Harvesting Systems. In: 10th IEEE International New Circuits and Systems Conference (NEWCAS). 2012, Montreal, Canada: IEEE.
- Mokhov A, Sokolov D, Yakovlev A. Adapting Asynchronous Circuits to Operating Conditions by Logic Parametrisation. In: 18th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2012). 2012, Copenhagen, Denmark: IEEE.
- Tarawneh G, Yakovlev A. Adaptive Synchronization for DVFS Applications. In: 22nd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2012). 2012, Newcastle: Springer Berlin Heidelberg.
- Mokhov A, Khomenko V, Alekseyev A, Yakovlev A. Algebra of Parametrised Graphs. In: 12th International Conference on Application of Concurrency to System Design (ACSD 2012). 2012, Hamburg, Germany: IEEE Computer Society.
- Tarawneh G, Yakovlev A. An RTL Method for Hiding Clock Domain Crossing Latency. In: 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS). 2012, Seville, Spain: IEEE.
- Burns F, Bystrov A, Koelmans A, Yakovlev A. Design and security evaluation of balanced 1-of-n circuits. IET Computers and Digital Techniques 2012, 6(2), 125-135.
- Al-Dujaily R, Mak T, Xia F, Yakovlev A, Palesi M. Embedded Transitive Closure Networks for Runtime Deadlock Detection in Networks-on-Chip. IEEE Transactions on Parallel and Distributed Systems 2012, 23(7), 1205-1215.
- Julai N, Yakovlev A, Bystrov A. Error detection and correction of single event upset (SEU) tolerant latch. In: IEEE 18th International On-Line Testing Symposium (IOLTS). 2012, Sitges, Spain: IEEE.
- Hasan S, Boussakta S, Yakovlev A. FPGA-Based Architecture for a Generalized Parallel 2-D MRI Filtering Algorithm. American Journal of Engineering and Applied Sciences 2012, 4(4), 566-575.
- Al-Azawi S, Boussakta S, Yakovlev A. High precision and low power DCT architectures for image compression applications. In: IET Conference on Image Processing (IPR). 2012, London: IET.
- Docherty J, Bystrov A, Yakovlev A. Identification of Key Energy Harvesting Parameters through Monte Carlo Simulations. In: 14th International Conference on Computer Modelling and Simulation (UKSim). 2012, Cambridge: IEEE.
- Tarawneh G, Mak T, Yakovlev A. Intra-chip physical parameter sensor for FPGAS using flip-flop metastability. In: 22nd International Conference on Field Programmable Logic and Applications (FPL). 2012, Oslo, Norway: IEEE.
- Dahir N, Mak T, Xia F, Yakovlev A. Minimizing Power Supply Noise Through Harmonic Mappings in Networks-on-Chip. In: CODES+ISSS'12:PROCEEDINGS OF THE TENTH ACM INTERNATIONAL CONFERENCE ON HARDWARE/SOFTWARE-CODESIGN AND SYSTEM SYNTHESIS. 2012, 1515 BROADWAY, NEW YORK, NY 10036-9998 USA: ASSOC COMPUTING MACHINERY.
- Rafiev A, Mokhov A, Burns FP, Murphy JP, Koelmans A, Yakovlev A. Mixed Radix Reed-Muller Expansions. IEEE Transactions on Computers 2012, 61(8), 1189-1202.
- Mokhov A, Khomenko V, Sokolov D, Yakovlev A. On Dual-Rail Control Logic for Enhanced Circuit Robustness. In: 12th International Conference on Application of Concurrency to System Design (ACSD). 2012, Hamburg, Germany: IEEE Computer Society.
- Yu B, Mak T, Li X, Xia F, Yakovlev A, Sun Y, Poon C. Real-Time FPGA-Based Multichannel Spike Sorting Using Hebbian Eigenfilters. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 2012, 1(4), 502-515.
- Alahmadi ANM, Russell G, Yakovlev A. Reconfigurable Time Interval Measurement Circuit Incorporating a Programmable Gain Time Difference Amplifier. In: 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). 2012, Tallinn, Estonia: IEEE.
- Murphy J, O'Neill M, Burns F, Bystrov A, Yakovlev A, Halak B. Self-Timed Physically Unclonable Functions. In: 5th International Conference on New Technologies, Mobility and Security (NTMS). 2012, Istanbul, Turkey: IEEE.
- Fernandes J, Koutny M, Pietkiewicz-Koutny M, Sokolov D, Yakovlev A. Step Persistence in the Design of GALS Systems. Newcastle upon Tyne: Newcastle University, 2012. School of Computing Science Technical Report Series 1349.
- Alahmadi ANM, Russell G, Yakovlev A. Time difference amplifier design with improved performance parameters. Electronics Letters 2012, 48(10), 562-563.
- Xia F, Mokhov A, Zhou Y, Chen Y, Mitrani I, Shang D, Sokolov D, Yakovlev A. Towards power-elastic systems through concurrency management. IET Computers and Digital Techniques 2012, 6(1), 33-42.
- Ghasempour M, Shang DL, Xia F, Yakovlev A. Ultra-Low Power Transmitter. In: 2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012). 2012, 345 E 47TH ST, NEW YORK, NY 10017 USA: IEEE.
- Russell G, Burns F, Yakovlev A. VARMA-VARiability Modelling and Analysis Tool. In: 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). 2012, Tallinn, Estonia: IEEE.
- Fitzgerald J, Mak T, Romanovsky A, Yakovlev A. Workshop Proceedings: Trustworthy Cyber-Physical Systems. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2012. School of Computing Science Technical Report Series 1347.
- Zhang X, Shang D, Xia F, Yakovlev A. A Novel Power Delivery Method for Asynchronous Loads in Energy Harvesting Systems. ACM Journal on Emerging Technologies in Computing Systems (JETC) 2011, 7(4), 16.1-16.22.
- Zhang XF, Shang DL, Xia F, Yakovlev A. A Novel Power Delivery Method for Asynchronous Loads in Energy Harvesting Systems. In: 17TH IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 2011, Ithaca, New York, USA: IEEE.
- Mokhov A, Khomenko V, Alekseyev A, Yakovlev A. Algebra of Parametrised Graphs. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2011. School of Computing Science Technical Report Series 1307.
- Yakovlev A, Ramezani R, Mak T. Apparatus and method for voltage sensing. U.S. Patent Application 13/638,330, filed February 28, 2011.
- Mak T, Al-Dujaily R, Zhou K, Lam K, Poon C. Dynamic Programming Networks for Large-Scale 3D Chip Integration. IEEE Circuits and Systems Magazine 2011, 11(3), 51-62.
- Mokhov A, Alekseyev A, Yakovlev A. Encoding of processor instruction sets with explicit concurrency control. IET Computers and Digital Techniques 2011, 5(6), 427-439.
- Yakovlev A. Energy-modulated computing. In: 2011 Design, Automation & Test in Europe (DATE). 2011, Grenoble, France: IEEE.
- Mokhov A, Khomenko V, Yakovlev A. Flat Arbiters. Fundamenta Informaticae 2011, 108(1-2), 63-90.
- Al-Azawi S, Boussakta S, Yakovlev A. Image Compression Algorithms Using Intensity Based Adaptive Quantization Coding. American Journal of Engineering and Applied Sciences 2011, 4(4), 504-512.
- Alekseyev A, Khomenko V, Mokhov A, Wist D, Yakovlev A. Improved parallel composition of labelled Petri nets. In: 11th International Conference on Application of Concurrency to System Design (ACSD). 2011, Kanazawa, Japan: IEEE.
- Baz A, Shang DL, Xia F, Yakovlev A, Bystrov A. Improving the Robustness of Self-timed SRAM to Variable Vdds. In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation: 21st International Workshop (PATMOS). 2011, Madrid, Spain: Springer.
- Al-Azawi S, Boussakta S, Yakovlev A. Low complexity image compression algorithm using AMBTC and bit plane squeezing. In: 7th IEEE International Workshop on Systems, Signal Processing and their Applications (WOSSPA). 2011, Tipaza, Algeria: IEEE.
- Shedabale S, Russell G, Yakovlev A. M-PRES: a statistical tool for modelling the impact of manufacturing process variations on circuit-level performance parameters. IET Circuits, Devices and Systems Series 2011, 5(5), 403-410.
- Hasan S, Boussakta S, Yakovlev A. Parameterized FPGA-based architecture for parallel 1-D filtering algorithms . In: 7th IEEE International Workshop on Systems, Signal Processing and their Applications (WOSSPA). 2011, Tipaza, Algeria: IEEE.
- Al-Dujaily R, Mak T, Xia F, Yakovlev A, Palesi M. Run-Time Deadlock Detection in Networks-on-Chip Using Coupled Transitive Closure Networks. In: Design, Automation and Test in Europe (DATE). 2011, Grenoble, France.
- Burns F, Bystrov A, Koelmans A, Yakovlev A. Security Evaluation of Balanced 1-of-n Circuits. IEEE Transactions on VLSI Systems 2011, 19(11), 2135-2139.
- Baz A, Shang D, Xia F, Yakovlev A. Self-timed SRAM for Energy Harvesting Systems. Journal of Low Power Electronics 2011, 7(2), 274-284.
- Halak B, Yakovlev A. Statistical analysis of crosstalk-induced errors for on-chip interconnects. IET Computers and Digital Techniques 2011, 5(2), 104-112.
- Zhou J, Ashouei M, Kinniment D, Huisken J, Russell G, Yakovlev A. Sub-threshold Synchronizer. Microelectronics Journal 2011, 42(6), 840-850.
- Luo JW, Mak T, Yu B, Andras P, Yakovlev A. Towards neuro-silicon interface using reconfigurable dynamic clamping. In: Conference of the IEEE Engineering in Medicine and Biology Society. 2011, Boston, Massachusetts, USA: IEEE.
- Low HS, Shang DL, Xia F, Yakovlev A. Variation Tolerant Asynchronous FPGA. In: 19th Annual ACM International Symposium on Field-Programmable Gate Arrays. 2011, Monterey, California, USA: Association for Computing Machinery.
- Low HS, Shang DL, Xia F, Yakovlev A. Variation Tolerant Asynchronous FPGA. In: 17th International Symposium on Asynchronous Circuits and Systems (ASYNC). 2011, Ithaca, NY, USA: Institution of Electronic and Electrical Engineers.
- Yu B, Mak T, Li X, Xia F, Yakovlev A, Sun Y, Poon C. A Reconfigurble Hebbian Eigenfilter for Neurophysiological Spike Train Analysis. In: IEEE International Conference on Field Programmable Logic and Applications. 2010, Milano, Italy: IEEE.
- Alshaikh M, Kinniment D, Yakovlev A. A Synchronizer Design Based on Wagging. In: International Conference on Microelectronics (ICM). 2010, Cairo, Egypt: IEEE.
- Al-Tarawneh Z, Russell G, Yakovlev A. An Analysis of SEU Robustness of C-Element Structures Implemented in Bulk CMOS and SOI Technologies. In: 22nd International Conference on Microelectronics (ICM). 2010, Cairo, Egypt: IEEE.
- Golubcovs S, Yakovlev A. Asynchronous Communications for NoCs. In: C. Silvano, M. Lajolo and G. Palermo, ed. Low Power Networks-on-Chip. Berlin: Springer, 2010, pp.71-110.
- Shang D, Xia F, Yakovlev A. Asynchronous FPGA architecture with distributed control. In: IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems (ISCAS). 2010, Paris, France: IEEE.
- Shang D, Xia F, Yakovlev A. Asynchronous FPGA Architecture with Distributed Control. In: 2010 IEEE International Symposium on Circuits and Systems. 2010, Paris, France: IEEE.
- Mokhov A, Alekseyev A, Yakovlev A. Automated Synthesis of Instruction Codes in the Context of Micro-architecture Design. In: 10th International Conference on Application of Concurrency to System Design (ACSD). 2010, Braga, Portugal: IEEE Computer Society.
- Mokhov A, Yakovlev A. Conditional Partial Order Graphs: Model, Synthesis, and Application. IEEE Transactions on Computers 2010, 59(11), 1480-1493.
- Shang D, Xia F, Yakovlev A. Highly parallel multi-resource arbiters. In: IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems (ISCAS). 2010, Paris, France: IEEE.
- Shang D, Xia F, Yakovlev A. Highly Parallel Multi-Resource Arbiters. In: 2010 IEEE International Symposium on Circuits and Systems. 2010, Paris, France: IEEE.
- Halak B, Yakovlev A, O'Niell A. Is a single cell sensor possible?. In: Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC). 2010, Buenos Aires, Argentina: IEEE.
- Dai L, Shang D, Xia F, Yakovlev A. Monitoring circuit based on threshold for fault-tolerant NoC. Electronics Letters 2010, 46(14), 984-985.
- Mokhov A, Khomenko V, Sokolov D, Yakovlev A. On Dual-Rail Control Logic for Enhanced Circuit Robustness. Newcastle upon Tyne: School of Electrical, Electronic & Computer Engineering, Newcastle University, 2010. School of Electrical, Electronic & Computer Engineering Technical Report Series NCL-EECE-MSD-TR-2010-162.
- Hasan S, Yakovlev A, Boussakta S. Performance Efficient FPGA Implementation of Parallel 2-D MRI Image Filtering Algorithms using Xilinx System Generator. In: International Symposium on Communication Systems, Networks and Digital Signal Processing (CNDSP). 2010, University of Northumbria, Newcastle upon Tyne, UK: IEEE.
- Al-Azawi S, Boussakta S, Yakovlev A. Performance Improvement Algorithms for Colour Image Compression Using DWT and Multilevel Block Truncation Coding. In: International Symposium on Communication Systems, Networks and Digital Signal Processing (CNDSP). 2010, University of Northumbria, Newcastle upon Tyne, UK: IEEE.
- Rafiev A, Murphy J, Yakovlev A. Secure Design Flow for Asynchronous Multi-Valued Logic Circuits. In: 40th International Symposium on Multi-Valued Logic (ISMVL). 2010, Barcelona, Spain: IEEE Computer Society.
- Baz A, Shang D, Xia F, Yakovlev A. Self-timed SRAM for energy harvesting systems. In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation: 20th International Workshop (PATMOS). 2010, Grenoble, France: Springer.
- Chen Y, Mitrani I, Shang D, Xia F, Yakovlev A. Stochastic analysis of power, latency and the degree of concurrency. In: IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems (ISCAS). 2010, Paris, France: IEEE.
- Shang DL, Xia F, Golubcovs S, Yakovlev A. The Magic Rule of Tiles: Virtual Delay Insensitivity. In: 19th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2009). 2010, Delft, Netherlands: Springer.
- Halak B, Yakovlev A. Throughput optimization for area-constrained links with crosstalk avoidance methods. IEEE Transactions on VLSI Systems 2010, 18(6), 1016-1019.
- Emery R, Yakovlev A, Chester G. Connection-centric network for spiking neural networks. In: 3rd ACM/IEEE International Symposium on Networks-on-Chip (NoCS 2009). 2009, La Jolla, CA, USA: IEEE.
- Dasgupta S, Yakovlev A. Desynchronisation Technique Using Petri Nets. Electronic Notes in Theoretical Computer Science 2009, 245, 51-67.
- Burns F, Murphy J, Koelmans A, Yakovlev A. Efficient advanced encryption standard implementation using lookup and normal basis. IET Computers and Digital Techniques 2009, 3(3), 270-280.
- Asimakopoulos P, Van der Plas G, Yakovlev A, Marchal P. Evaluation of Energy-Recovering Interconnects for Low-Power 3D Stacked ICs. In: IEEE International Conference on 3D Systems Integration. 2009, San Francisco, CA: IEEE.
- Chen Y, Xia F, Shang D, Yakovlev A. Fine-grain stochastic modelling of dynamic power management policies and analysis of their power-latency tradeoffs. IET Software 2009, 3(6), 458-469.
- Mokhov A, Khomenko V, Yakovlev A. Flat Arbiters. In: 9th International Conference on Application of Concurrency to System Design (ACSD). 2009, Augsburg, Germany: IEEE Computer Society.
- Golubcovs S, Shang D, Xia F, Mokhov A, Yakovlev A. Modular Approach to Multi-Resource Arbiter Design. In: 15th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2009). 2009, Chapel Hill, North Carolina: IEEE Computer Press.
- Alshaikh M, Kinniment D, Yakovlev A. O. The trade-off between resolution time and delay times in bistable circuits. In: 16th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2009. 2009, Tunisia: IEEE.
- Alekseyev A, Poliakov I, Khomenko V, Yakovlev A. Optimisation of Balsa control path using STG resynthesis. In: 21st UK Asynchronous Forum. 2009, Bristol, UK: Computer Science Department, University of Bristol.
- Rafiev A, Murphy JP, Yakovlev A. Quaternary Reed-Muller Expansions of Mixed Radix Arguments in Cryptographic Circuits. In: 39th IEEE International Symposium on Multiple-Valued Logic. 2009, Naha, Okinawaw, Japan: IEEE.
- Mokhov A, D'Alessandro C, Yakovlev A. Synthesis of multiple rail phase encoding circuits. In: ASYNC: 15TH IEEE International Symposium on Asynchronous Circuits and Systems. 2009, Chapel Hill, NC: IEEE.
- Darondeau P, Koutny M, Pietkiewicz-Koutny M, Yakovlev A. Synthesis of nets with step firing policies. Fundamenta Informaticae 2009, 94(3-4), 275-303.
- Darondeau P, Koutny M, Pietkiewicz-Koutny M, Yakovlev A. Synthesis of Nets with Step Firing Policies. Fundamenta Informaticae 2009, 94(3-4), 275-303.
- Poliakov I, Khomenko V, Yakovlev A. WORKCRAFT - A Framework for Interpreted Graph Models. In: 30th International Conference on Applications and Theory of Petri Nets. 2009, Paris, France: Springer-Verlag.
- Carmona J, Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. A symbolic algorithm for the synthesis of bounded petri nets. In: Applications and Theory of Petri Nets: 29th International Conference. 2008, Xi'an, China: Springer.
- Carmona J, Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. A Symbolic Algorithm for the Synthesis of Bounded Petri Nets. In: Applications and Theory of Petri Nets. Proceedings of the 29th International Conference. 2008, Xi'an, China: Springer Berlin.
- Zhou J, Kinniment D, Russell G, Yakovlev A. Adapting synchronizers to the effects of on chip variability. In: 14th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2008). 2008, Newcastle upon Tyne, UK: IEEE Computer Society.
- Ramakrishnan H, Shedabale S, Russell G, Yakovlev A. Analysing the Effect of Process Variation to Reduce Parametric Yield Loss. In: IEEE International Conference on Integrated Circuit Design and Technology and Tutorial, ICICDT 2008. 2008, Minatec, Grenoble, France: IEEE.
- Sokolov D, Poliakov I, Yakovlev A. Analysis of Static Data Flow Structures. Fundamenta Informaticae 2008, 88(4), 581-610.
- Ogg S, Al-Hashimi B, Yakovlev A. Asynchronous Transient Resilient Links for NoC. In: CODES & ISSS’08. 2008, Atlanta, Georgia, USA: ACM Press.
- Poliakov I, Mokhov A, Rafiev A, Sokolov D, Yakovlev A. Automated Verification of Asynchronous Circuits Using Circuit Petri Nets. In: 14th IEEE International Symposium on Asynchronous Circuits and Systems. 2008, Newcastle upon Tyne: IEEE Computer Society Press.
- Halak B, Yakovlev A. Bandwidth-centric optimisation for area-constrained links with crosstalk avoidance methods. In: Proceedings -Design, Automation and Test in Europe, DATE. 2008, Munich: -.
- Cheung PYK, Yakovlev A. Comments on the BCS Lecture ‘The Future of Computer Technology and its Implications for the Computer Industry’ by Professor Steve Furber. The Computer Journal 2008, 51(6), 741-742.
- Mokhov A, Yakovlev A. Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis. In: 2008 Design, Automation & Test in Europe Conference & Exhibition (DATE 2008). 2008, Munich Germany: IEEE.
- Rafiev A, Murphy JP, Sokolov D, Yakovlev A. Conversion Driven Design of Binary to Mixed Radix Circuits. In: IEEE International Conference on Computer Design (ICCD’08). 2008, Lake Tahoe, CA: IEEE Computer Society Press.
- Rafiev A, Murphy JP, Sokolov D, Yakovlev A. Conversion Driven Design of Binary to Mixed Radix Circuits. In: 2008 IEEE International Conference on Computer Design. 2008, Lake Tahoe, CA: IEEE.
- Halak B, Yakovlev AV. Fault-tolerant techniques to minimize the impact of crosstalk on phase encoded communication channels. IEEE Transactions on Computers 2008, 57(4), 505-519.
- Chen Y, Xia F, Shang D, Yakovlev A. Fine Grain Stochastic Modelling and Analysis of Low Power Portable Devices with Dynamic Power Management. In: UK Performance Evaluation Workshop. 2008, Imperial College, London: UKPEW.
- Minas N, Marshall M, Russell G, Yakovlev A. FPGA implementation of an asynchronous processor with both online and offline testing capabilities. In: 14th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2008). 2008, Newcastle, UK: IEEE Computer Society.
- Mak T, D'Alessandro C, Sedcole P, Cheung P, Yakovlev A, Luk W. Global interconnections in FPGAs: modeling and performance analysis. In: 2008 ACM International Workshop on System Level Interconnect Prediction (SLIP 08). 2008, Newcastle, UK: Association for Computing Machinery.
- Minas N, Kinniment D, Russell G, Yakovlev A. High Resolution Flash Time-to-Digital Converter with Sub-Picosecond Measurement Capabilities. In: International Symposium on System-on-Chip (SOC 2008). 2008, Tampere, Finland: IEEE.
- D'Alessandro C, Bystrov A, Yakovlev A. Implementation of a phase-encoding signalling prototype chip. In: 34th European Solid-State Circuits Conference (ESSCIRC 2008). 2008, Edinburgh, UK: IEEE.
- Mak T, D'Alessandro C, Sedeole P, Cheung PYK, Yakovlev A, Luk W. Implementation of wave-pipelined interconnects in FPGAs. In: Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008. 2008, Newcastle University, Newcastle-upon-Tyne: IEEE Computer Society.
- Kinniment D, Koelmans A, Fei X, Bystrov A, Chester G, Carloni L, Russell G, Roncken M, Vivet P, Murali S, Clark I, Moore S, Yakovlev A, Bainbridge J, Bertozzi D, Goossens K. Message from General Chairs. In: NOCS 2008: Second International Symposium on Networks-on-Chip. 2008, Newcastle University: IEEE Computer Society.
- Zhou J, Kinniment DJ, Dike C, Russell G, Yakovlev A. On-chip measurement of deep metastability in synchronizers. IEEE Journal of Solid-State Circuits 2008, 43(2), 550-557.
- D'Alessandro CS, Shang D, Bystrov A, Yakovlev AV, Maevsky O. Phase-encoding for on-chip signalling. IEEE Transactions on Circuits and Systems I: Regular Papers 2008, 55(2), 535-545.
- Khomenko V, Madalinski A, Yakovlev A. Resolution of Encoding Conflicts by Signal Insertion and Concurrency Reduction Based on STG Unfoldings. Fundamenta Informaticae 2008, 86(3), 299-323.
- Yakovlev A, Sokolov D, Poliakov I. Self-timed Circuit Design: Stepping from Control to Data Path. In: Workshop Concurrency Methods, Issues and Applications. 2008, Xi'an, China.
- Ogg S, Vialli E, Al-Hashimi B, Yakovlev A, D'Alesdsandro C, Benini L. Serialized Asynchronous Links for NoC. In: Design Automation and Test in Europe (DATE'08). 2008, Munich: IEEE.
- Ramakrishnan H, Shedabale S, Russell G, Yakovlev A. Stacked strained silicon transistors for low-power high-performance circuit applications. In: Proceedings - Electronic Components and Technology Conference. 2008, Buena Vista, Florida: IEEE.
- Shedabale S, Ramakrishnan H, Russell G, Yakovlev A, Chattopadhyay S. Statistical modelling of the variation in advanced process technologies using a multi-level partitioned response surface approach. IET Circuits, Devices and Systems 2008, 2(5), 451-464.
- Darondeau P, Koutny M, Pietkiewicz-Koutny M, Yakovlev A. Synthesis of Nets with Step Firing Policies. In: Applications and Theory of Petri Nets: 29th International Conference. 2008, Xi'an, China: Springer-Verlag.
- Darondeau P, Koutny M, Pietkiewicz-Koutny M, Yakovlev A. Synthesis of Nets with Step Firing Policies. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2008. School of Computing Science Technical Report Series 1080.
- Halak B, Shedabale S, Ramakrishnan H, Yakovlev A, Russell G. The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk. In: International Workshop on System Level Interconnect Prediction, SLIP. 2008, Newcastle, United Kingdom: IEEE Computer Society.
- Mokhov A, Yakovlev A. Verification of Conditional Partial Order Graphs. In: Application of Concurrency to System Design (ACSD'08). 2008, Xi'an, China: IEEE.
- Mokhov A, Yakovlev A. Verification of Conditional Partial Order Graphs. In: 8th International Conference on Application of Concurrency to System Design (ACSD 2008). 2008, Xi'an, China: IEEE.
- Gardiner KT, Yakovlev A, Bystrov A. A C-element latch scheme with increased transient fault tolerance for asynchronous circuits. In: 13th IEEE International On-Line Testing Symposium Proceedings. 2007, Heraklion, Crete, Greece: IEEE Computer Society.
- Sokolov D, Poliakov I, Yakovlev A. Asynchronous Data Path Models. In: 7th International Conference on Application of Concurrency to System Design, ACSD 2007. 2007, Bratislava, Slovak Republic: Institute of Electrical and Electronics Engineers.
- Delong S, Chihoon S, Ping W, Fei X, Koelmans A, Myeonghoon O, Seongwoon K, Yakovlev A. Asynchronous functional coupling for low power sensor network processors. In: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 2007, Springer.
- Shang D, Shin C, Wang P, Xia F, Koelmans A, Oh M, Kim S, Yakovlev A. Asynchronous functional coupling for low power sensor network processors. In: Integrated Circuit and System Design: Power and Timing Modeling, Optimization and Simulation. 2007, Gothenburg, Sweden: Springer Berlin.
- Shang D, Shin C, Wang P, Xia F, Koelmans A, Oh M, Kim S, Yakovlev A. Asynchronous Functional Coupling for Low Power Sensor Network Processors. In: 17th International Workshop on Power and Timing Modeling, Optimization and Simulation. 2007, Göteborg, Sweden: Springer.
- Gorgonio K, Cortadella J, Xia F, Yakovlev A. Automating synthesis of asynchronous communication mechanisms. In: 5th International Conference on Application of Concurrency to System Design. 2007, St Malo, France: Fundamenta Informaticae, IOS Press.
- Dasgupta S, Yakovlev A. Comparative analysis of GALS clocking schemes. IET Computers and Digital Techniques 2007, 1(2), 59-69.
- D'Alessandro C, Mokhov A, Bystrov A, Yakovlev A. Delay/Phase Regeneration Circuit. In: 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007). 2007, Berkeley, California: IEEE.
- D'Alessandro C, Mokhov A, Bystrov A, Yakovlev A. Delay/Phase regeneration circuits. In: 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007). 2007, Berkeley, California: IEEE Computer Society.
- Sokolov D, Bystrov A, Yakovlev A. Direct mapping of low-latency asynchronous controllers from STGs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2007, 26(6), 993-1009.
- Burns F, Murphy J, Shang D, Koelmans A, Yakorlev A. Dynamic global security-aware synthesis using SystemC. IET Computers and Digital Techniques 2007, 1(4), 405-413.
- Ramakrishnan H, Maharatna K, Chattopadhyay S, Yakovlev A. Impact of strain on the design of low-power high-speed circuits. In: IEEE International Symposium on Circuits and Systems. 2007, New Orleans, LA: IEEE.
- Ramakrishnan H, Maharatna K, Chattopadhyay S, Yakovlev A. Impact of strain on the design of low-power high-speed circuits. In: IEEE International Symposium on Circuits and Systems. 2007, Institute of Electrical and Electronics Engineers.
- D'Alessandro C, Bystrov A, Yakovlev A. Improved phase-encoding signalling. Electronics Letters 2007, 43(4), 216-217.
- Kinniment DJ, Dike CE, Heron K, Russell G, Yakovlev AV. Measuring deep metastability and its effect on synchronizer performance. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2007, 15(9), 1028-1039.
- D'Alessandro C, Minas N, Heron K, Kinniment D, Yakovlev A. NoC communication strategies using time-to-digital conversion. In: First International Symposium on Networks-on-chips. 2007, Princeton, New Jersey: IEEE.
- Kleijn J, Yakovlev A, ed. Petri nets and Other Models of Concurrency – ICATPN 2007. Berlin: Springer-Verlag, 2007.
- Ogg S, Valli E, D'Alessandro C, Yakovlev A, Al-Hashimi B, Benini L. Reducing interconnect cost in NOC through serialized asynchronous links. In: IEEE Computer Society International Symposium on Networks-on-Chip. 2007, Princeton, NJ: IEEE.
- Shang D, Yakovlev A, Koelmans A, Sokolov D, Bystrov A. Registers for Phase Difference Based Logic. IEEE Transactions on Very Large Scale Integration Systems 2007, 15(6), 720-724.
- Chen Y, Xia F, Shang D, Yakovlev A. The Design of Virtual Self-timed Block for Activity Communication in SOC. In: 7th International Conference on Application of Concurrency to System Design (ACSD). 2007, Bratislava, Slovakia: IEEE.
- Chen Y, Xia F, Shang D, Yakovlev A. The Design of Virtual Self-timed Block for Activity Communication in SOC. In: 7th International Conference on Application of Concurrency to System Design, ACSD 2007. 2007, Bratislava, Slovak Republic: Institute of Electrical and Electronics Engineers.
- Zhou J, Kinniment D, Russell G, Yakovlev A. A robust synchronizer. In: Annual Symposium on Emerging VLSI Technologies and Architectures. 2006, Karlsruhe, Germany: IEEE.
- Murphy J, Yakovlev A. An alternating spacer AES crypto-processor. In: ESSCIRC 2006: Proceedings of the 32nd European Solid-State Circuits Conference. 2006, Montreux, Switzerland: IEEE.
- Murphy JP, Yakovlev A. An Alternating Spacer AES Crypto-Processor. In: 32nd European Solid-State Circuits Conference (ESSCIR 2006). 2006, Montreux, Switzerland: IEEE.
- Xia F, Hao F, Clark I, Yakovlev A, Chester EG. Buffered asynchronous communication mechanisms. In: 4th International Conference on Application of Concurrency to System Design. 2006, Hamilton, Canada: Fundamenta Informaticae, IOS Press.
- Sokolov D, Yakovlev A. Clock-less circuits and system synthesis. In: Bashir M.Al-Hashimi, ed. System on Chip: next generation electronics. London: Institution of Electrical Engineers, 2006, pp.541-586.
- Zhou Y, Sokolov D, Yakovlev A. Cost-aware synthesis of asynchronous circuits based on partial acknowledgement. In: 2006 International Conference on Computer-Aided Design. 2006, San Jose, California: ACM Press.
- Zhou Y, Sokolov D, Yakovlev A. Cost-aware synthesis of asynchronous datapath based on partial acknowledgement. Newcastle upon Tyne, UK: School of Computing Science, University of Newcastle upon Tyne, 2006. School of Computing Science Technical Report Series 113.
- Shang D, Burns F, Bystrov A, Koelmans A, Sokolov D, Yakovlev A. High-security asynchronous circuit implementation of AES. IEE Proceedings - Computers and Digital Techniques 2006, 153(2), 71-77.
- Madalinski A, Khomenko V, Yakovlev A. Interactive Resolution of Encoding Conflicts in Asynchronous Circuits Based on STG Unfoldings. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2006. School of Computing Science Technical Report Series 944.
- Khomenko V, Koutny M, Yakovlev A. Logic Synthesis for Asynchronous Circuits Based on STG Unfoldings and Incremental SAT. Fundamenta Informaticae 2006, 70(1-2), 49-73.
- Shang D, Yakovlev A, Burns F, Xia F, Bystrov A. Low-Cost Online Tesing of Asynchronous Handshakes. In: 11th IEEE European Test Symposium (ETS'06). 2006, Southampton, UK: IEEE.
- Dasgupta S, Yakovlev A. Modeling and performance analysis of GALS architectures. In: 2006 International Symposium on System-on-Chip, SOC. 2006, Tampere, Finland: IEEE.
- Dasgupta S, Potop-Butucaru D, Caillaud B, Yakovlev A. Moving from weakly endochronous systems to delay-insensitive circuits. Electronic Notes in Theoretical Computer Science 2006, 146(2), 81-103.
- D'Alessandro C, Shang D, Bystrov A, Yakovlev A, Maevsky O. Multiple-rail phase-encoding for NoC. In: 12th IEEE International Symposium on Asynchronous Circuits and Systems. 2006, Grenoble: IEEE.
- D'Alessandro C, Shang D, Bystrov A, Yakovlev A, Maevsky O. Multipl-rail phase-encoding for NoC. In: 12th International Symposium Advanced Research in Asynchronous Systems and Circuits (ASYNC'06). 2006, Grenoble, France: IEEE Computer Society Press.
- Koppad D, Sokolov D, Bystrov A, Yakovlev A. Online testing by protocol decomposition. In: 12th IEEE International On-Line Testing Symposium (IOLTS 2006). 2006, Lake Como, Italy: IEEE.
- Khomenko V, Madalinski A, Yakovlev A. Resolution of encoding conflicts by signal insertion and concurrency reduction based on STG unfoldings. In: 6th International Conference on Application of Concurrency to System Design (ACSD 2006). 2006, Turku, Finland: IEEE.
- Chen Y, Xia F, Yakovlev A. Virtual self-timed blocks for systems-on-chip. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2006, Island of Kos: IEEE.
- Chen Y, Xia F, Yakovlev A. Virtual self-timed blocks for systems-on-chip. In: 2006 IEEE International Symposium on Circuits and Systems. ISCAS 2006. 2006, Island of Kos, Greece: IEEE.
- Yakovlev A. A Multi-version Data Model and Semantic-Based Transaction Processing Protocol. In: Advances in Databases and Information Systems: 9th East European Conference (ADBIS). 2005, Tallinn, Estonia: Springer.
- Cortadella J, Gorgonio K, Xia F, Yakovlev A. Automating synthesis of asynchronous communication mechanisms. In: Proceedings - Fifth International Conference on Application of Concurrency to System Design, ACSD 2005. 2005, St Malo, France: IEEE.
- Sokolov D, Yakovlev A. Clockless circuits and system synthesis. IEE Proceedings: Computers and Digital Techniques 2005, 152(3), 298-316.
- Sokolov D, Murphy J, Bystrov A, Yakovlev A. Design and analysis of dual-rail circuits for security applications. IEEE Transactions on Computers 2005, 54(4), 449-460.
- Ramakrishnan HK, Chattopadhyay S, Yakovlev A, Dlay S, O'Neill AG. Design ofsrained silicon inverters for fture VLSI applications. In: 3rd International Conference on Materials for Advanced Technologies (ICMAT). 2005, Singapore.
- Dasgupta S, Yakovlev A. Modeling and verification of globally asynchronous and locally synchronous ring architectures. In: Design, Automation and Test in Europe. 2005, Munich, Germany: IEEE Computer Society.
- Koppad D, Bystrov A, Yakovlev A. Off-line testing of asynchronous circuits. In: 18th International Conference on 2005 VLSI Design. 2005, Kolkata, India: IEEE.
- Koppad D, Bystrov A, Yakovlev A. Off-line testing of asynchronous circuits. In: 18th International Conference on VLSI Design. 2005, Kolkata, India: IEEE Computer Society.
- Koppad D, Bystrov A, Yakovlev A. Off-line testing of Asynchronous circuits. In: Proceedings of the IEEE International Conference on VLSI Design. 2005, Kolkata, India: IEEE Computer Society.
- Shang D, Bystrov A, Yakovlev A, Koppad D. On-line testing of globally asynchronous circuits. In: 11th IEEE International On-Line Testing Symposium (IOLTS 2005). 2005, Saint-Raphaël, French Riviera, France: IEEE.
- Murphy J, Yakovlev A. Power-balanced asynchronous logic. In: Proceedings of the 2005 European Conference on Circuit Theory and Design. 2005, Cork, Ireland: IEEE Circuits and Systems Society.
- Murphy J, Bystrov A, Yakovlev A. Power-balanced self checking circuits for cryptographic chips. In: 11th IEEE International On-Line Testing Symposium (IOLTS 2005). 2005, Saint Raphael, French Riviera, France: IEEE.
- Murphy J, Bystrov A, Yakovlev A. Power-balanced self checking circuits for cryptographic chips. In: 11th IEEE International On-Line Testing Symposium. 2005, Saint Raphaël, France: IEEE Computer Society.
- D'Alessandro C, Shang D, Bystrov A, Yakovlev A. PSK signalling on NoC buses. In: 15th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2005). 2005, Leuven, Belgium: Springer.
- D'Alessandro C, Shang DL, Bystrov A, Yakovlev A. PSK signalling on NoC buses. In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation: 15th International Workshop (PATMOS). 2005, Leuven, Belgium: Springer.
- D'Alessandro C, Shang D, Bystrov A, Yakovlev A. PSK Signalling on NoC Buses. In: Integrated circuit and system design power and timing modeling, optimization and simulation : 15th international workshop, PATMOS 2005. 2005, Leuven, Belgium: Berlin.
- Murphy J, Bystrov A, Yakovlev A. Self-Checking Circuits for Security Applications. In: 11th Annual International Mixed-Signals Testing Workshop (IMSTW’05). 2005, Cannes, France: IEEE Computer Society.
- Shang D, Burns F, Bystrov A, Koelmans A, Sokolov D, Yakovlev A. A low and balanced power implementation of the AES security mechanism using self-timed circuits. In: Integrated circuit and system design: power and timing modeling, optimization and simulation. 14th International Workshop, PATMOS 2004. 2004, Santorinim, Greece: Springer.
- Shang D, Burns F, Bystrov A, Koelmans A, Sokolov D, Yakovlev A. A low and balanced power implementation of the AES security mechanism using self-timed circuits. In: Integrated Circuit and System Design. 2004, Santorini, Greece: Springer-Verlag Berlin and Heidelberg GmbH & Co. KG.
- Shang D, Burns F, Bystrov A, Koelmans A, Sokolov D, Yakovlev A. A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits. In: 14th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2004). 2004, Santorini, Greece: Springer.
- Burns F, Shang D, Koelmans A, Yakovlev A. An asynchronous synthesis toolset using Verilog. In: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE’04). 2004, Paris, France: IEEE Computer Society.
- Shang D, Burns F, Koelmans A, Yakovlev A, Xia F. Asynchronous system synthesis based on direct mapping using VHDL and Petri nets. IEE Proceedings - Computers and Digital Techniques 2004, 151(3), 209-220.
- Xia F, Hao F, Clark I, Yakovlev A, Chester EG. Buffered asynchronous communication mechanisms. In: Fourth International Conference on Application of Concurrency to System Design (ACSD). 2004, Hamilton, Ontario, Canada: IEEE.
- Yakovlev A, Furber S, Krenz R, Bystrov A. Design and analysis of a self-timed duplex communication system. IEEE Transactions on Computers 2004, 53(7), 798-814.
- Khomenko V, Koutny M, Yakovlev A. Detecting State Encoding Conflicts in STG Unfoldings Using SAT. Fundamenta Informaticae 2004, 62(2), 221-241.
- Renaudin M, Yakovlev A. From Hardware Processes to Asynchronous Circuits via Petri Nets: an Application to Arbiter Design. In: Workshop on Token Based Computing (ToBaCo) part of the 25th International Conference on Applications and Theory of Petri Nets (ICATPN'04). 2004, Bologna, Italy.
- Sokolov D, Murphy J, Bystrov A, Yakovlev A. Improving the security of dual-rail circuits. In: Cryptographic Hardware and Embedded Systems (CHES): 6th International Workshop. 2004, Cambridge, Massachusetts, USA: Springer.
- Sokolov D, Murphy J, Bystrov A, Yakovlev A. Improving the security of dual-rail circuits. In: Cryptographic Hardware and Embedded Systems - CHES 2004. 2004, Cambridge, MA: Springer.
- Sokolov D, Murphy J, Bystrov A, Yakovlev A. Improving the security of dual-rail circuits. In: Cryptographic Hardware and Embedded Systems - CHES 2004. Berlin: Springer, 2004, pp.282-297.
- Khomenko V, Koutny M, Yakovlev A. Logic synthesis for asynchronous circuits based on petri net unfoldings and incremental SAT. In: 4th International Conference on Application of Concurrency to System Design (ACSD 2004). 2004, Hamilton, Ontario, Canada: IEEE.
- Khomenko V, Koutny M, Yakovlev A. Logic synthesis for asynchronous circuits based on STG unfoldings and incremental SAT. In: 4th International Conference on Application of Concurrency to System Design (ACSD 2004). 2004, Hamilton, Canada: Fundamenta Informaticae: IOS Press.
- Kinniment DJ, Yakovlev AV. Low latency synchronization through speculation. In: Power and Timing Modeling, Optimization and Simulation, 14th International Workshop, PATMOS 2004. 2004, Santorini, Greece: Springer.
- Kinniment DJ, Yakovlev A. Low latency synchronization through speculation. In: Integrated Circuit and System Design: Power and Timing Modeling, Optimization and Simulation; 14th International Workshop (PATMOS). 2004, Santorini, Greece: Springer.
- Hao F, Xia F, Chester EG, Yakovlev A, Clark IG. MATLAB Models of ACMs in Control Systems. In: 1st International Conference on Informatics in Control, Automation and Robotics (ICINCO-2004). 2004, Setubal, Portugal: INSTICC press.
- Khomenko V, Madalinski A, Yakovlev A. Resolution of Encoding Conflicts by Signal Insertion and Concurrency Reduction Based on STG Unfoldings. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2004. School of Computing Science Technical Report Series 858.
- Burns F, Shang D, Koelmans A, Yakovlev A. Scheduling and allocation using closeness tables. IEE Proceedings - Computers and Digital Techniques 2004, 151(5), 332-340.
- Luo G, Clark I, Xia F, Koelmans A, Yakovlev A. Simulating Heterogeneous timing networks in network simulator ns. In: 15th UK Asynchronous Forum. 2004, Cambridge, UK.
- Luo G-Y, Xia F, Clark IG, Koelmans AM, Yakovlev AV. Simulating heterogeneously timed networks in network simulator NS. In: Communication Systems, Networks and Digital Signal Processing (CSNDSP). 2004, University of Northumbria, Newcastle upon Tyne, UK.
- Luo G-Y, Xia F, Clark IG, Koelmans AM, Yakovlev AV. Simulating heterogeneously timed networks in network simulator NS. In: Fourth International Symposium on Communication Systems, Networks and Digital Signal Processing (CSNDSP). 2004, Newcastle University, Newcastle upon Tyne, UK.
- Carmona J, Cortadella J, Khomenko V, Yakovlev A. Synthesis of asynchronous hardware from Petri nets. In: Desel, J., Reisig, W., Rozenberg, G, ed. Lectures on Concurrency and Petri Nets: Advances in Petri Nets. Berlin: Springer, 2004, pp.183-205.
- Bystrov A, Sokolov D, Yakovlev A, Koelmans A. Balancing power signature in secure systems. In: 14th UK Asynchronous Forum. 2003, Newcastle upon Tyne, UK.
- Khomenko V, Koutny M, Yakovlev A. Detecting State Coding Conflicts in STG Unfoldings Using SAT. In: 3rd International Conference on Application of Concurrency to System Design (ACSD 2003). 2003, Guimaraes, Portugal: IEEE Computer Society.
- Khomenko V, Koutny M, Yakovlev A. Logic Synthesis Avoiding State Space Explosion. Newcastle upon Tyne: School of Computing Science, University of Newcastle upon Tyne, 2003. School of Computing Science Technical Report Series 813.
- Bystrov A, Sokolov D, Yakovlev A. Low-latency control structures with slack. In: Ninth International Symposium on Asynchronous Circuits and Systems. 2003, Vancouver, Canada: IEEE Computer Society.
- Starodoubtsev N, Bystrov A, Yakovlev A. Monotonic circuits with complete acknowledgement. In: 9th International Symposium on Asynchronous Circuits and Systems (ASYNC 2003). 2003, Vancouver, Canada: IEEE Computer Society.
- Kinniment DJ, Maevsky OV, Bystrov A, Russell G, Yakovlev A. On-chip structures for timing measurement and test. Microprocessors and Microsystems 2003, 27(9), 473-483.
- Bystrov A, Sokolov D, Yakovlev A. OR-causality in low-latency asynchronous circuits. In: International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC). 2003, Vancouver, British Columbia, Canada.
- Sokolov D, Bystrov A, Yakovlev A. STG optimisation in the direct mapping of asynchronous circuits. In: Design, Automation and Test in Europe (DATE). 2003, Munich, Germany: IEEE.
- Sokolov D, Bystrov A, Yakovlev A. STG optimisation in the direct mapping of asynchronous circuits. In: Design, Automation and Test in Europe Conference and Exhibition. 2003, Munich, Germany: IEEE Computer Society.
- Madalinski A, Bystrov A, Khomenko V, Yakovlev A. Visualisation and resolution of encoding conflicts in asynchronous circuit design. In: 6th Conference on Design and Test in Europe (DATE03). 2003, Munich, Germany: IEE Proceedings: Computers and Digital Techniques.
- Madalinski A, Bystrov A, Khomenko V, Yakovlev A. Visualization and Resolution of Coding Conflicts in Asynchronous Circuit Design. IET Computers & Digital Techniques 2003, 150(5), 285-293.
- Shang D, Burns F, Koelmans A, Yakovlev A. An asynchronous DMA system design based on direct mapping using VHDL and Petri Nets. In: 13th UK Asynchronous Forum. 2002, Cambridge, UK.
- Maevsky O, Kinniment DJ, Yakovlev A, Bystrov A. Analysis of the oscillation problem in tri-flops. In: International Symposium on Circuits and Systems. 2002, Phoenix-Scottsdale, Arizona, USA: IEEE.
- Maevsky O, Kinniment DJ, Yakovlev A, Bystrov A. Analysis of the oscillation problem in tri-flops. In: 2002 IEEE International Symposium on Circuits and Systems. 2002, Phoenix-Scottsdale, Arizona, USA: IEEE.
- Maevsky O, Kinniment DJ, Yakovlev A, Bystrov A. Analysis of the oscillation problem in tri-flops. In: Proceedings - IEEE International Symposium on Circuits and Systems. 2002, Scottsdale, Arizona: IEEE Computer Society.
- Bystrov A, Yakovlev A. Asynchronous Circuit Synthesis by Direct Mapping: Interfacing to Environment. In: 8th International Symposium on Asynchronous Circuits and Systems (ASYNC 2002). 2002, Manchester, UK: IEEE Computer Society.
- Shang D, Xia F, Yakovlev A. Asynchronous circuit synthesis via direct translation. In: IEEE International Symposium on Circuits and Systems 2002. 2002, Scottsdale, Arizona: IEEE Computer Society.
- Shang D, Xia F, Yakovlev A. Asynchronous circuit synthesis via direct translation. In: IEEE International Symposium on Circuits and Systems (ISCAS 2002). 2002, Arizona: IEEE.
- Sokolov D, Bystrov A, Yakovlev A. Automated design of low-latency asynchronous circuits by direct mapping. In: Postgraduate Research Conference in Electronics, Photonics, Communications and Software (PREP). 2002, Nottingham, UK.
- Burns F, Shang D, Koelmans A, Yakovlev A. Behavioural synthesis of asynchronous controllers: a case study with a self-timed communication channel. In: Asynchronous Circuit Design Workshop. 2002, Munich, Germany.
- Cortadella J, Yakovlev A, Rozenberg G, ed. Concurrency and Hardware Design (Advances in Petri Nets). Berlin and New York: Springer-Verlag, 2002.
- Xia F, Yakovlev AV, Clark IG, Shang D. Data communication in systems with heterogeneous timing. IEEE Micro 2002, 22(6), 58-69.
- Saito H, Kondratyev A, Cortadella J, Lavagno L, Yakovlev A, Nanya T. Design of asynchronous controllers with delay insensitive interface. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences 2002, E85-A(12), 2577-2585.
- Yakovlev A. Design, Analysis and Implementation of a Self-Timed Duplex Communication System. University of Newcastle upon Tyne: School of Computing Science, 2002. CS-TR-761.
- Yakovlev A, Furber SB, Krenz R. Design, Analysis and Implementation of a Self-Timed Duplex Communication System. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2002. Department of Computing Science Technical Report Series 761.
- Khomenko V, Koutny M, Yakovlev A. Detecting State Coding Conflicts in STG Unfoldings Using SAT. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2002. Department of Computing Science Technical Report Series 778.
- Khomenko V, Koutny M, Yakovlev A. Detecting State Coding Conflicts in STGs Using Integer Programming. In: Design, Automation and Test in Europe Conference and Exposition (DATE). 2002, Paris, France: IEEE Computer Society Press.
- Madalinski A, Bystrov A, Yakovlev A. ICU: A tool for Identifying State Coding Conflicts using STG unfoldings. University of Newcastle upon Tyne: School of Computing Science, 2002. CS-TR-773.
- Madalinski A, Bystrov A, Yakovlev A. ICU: A tool for Identifying State Coding Conflicts using STG unfoldings. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2002. Department of Computing Science Technical Report Series 773.
- Yakovlev A. Is the die cast for the token game?. In: Application and theory of petri nets: 23rd international conference. 2002, Adelaide, Australia: Springer.
- Yakovlev A. Is the die cast for the token game?. In: Application and Theory of Petri Nets 2002: 23rd International Conference (ICATPN). 2002, Adelaide, Australia: Springer.
- Cortadella J, Kishinevsky M, Burns SM, Kondratyev A, Lavagno L, Stevens KS, Taubin A, Yakovlev A. Lazy transition systems and asynchronous circuit synthesis with relative timing assumptions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2002, 21(2), 109-130.
- Cortadella J, Yakovlev A, Garside J. Logic design of asynchronous circuits. In: ASP-DAC/VLSI Design: 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design. 2002, Bangalore, India: IEEE.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. Logic Synthesis for Asynchronous Controllers and Interfaces. Berlin: Springer, 2002.
- Bystrov A, Sokolov D, Yakovlev A. Low-latency control structures with slack. In: 13th UK Asynchronous Forum. 2002, Cambridge.
- Kinniment DJ, Maevsky OV, Bystrov A, Russell G, Yakovlev A. On-chip structures for timing measurement and test. In: 8th International Symposium on Asynchronous Circuits and Systems (ASYNC 2002). 2002, Manchester, UK: IEEE Computer Society.
- Kinniment DJ, Bystrov A, Yakovlev A. Synchronization circuit performance. IEEE Journal of Solid-State Circuits 2002, 37(2), 202-209.
- Bystrov A, Yakovlev A. Synthesis of Asynchronous Circuits with Predictable Latency. In: 11th International Workshop on Logic and Synthesis. 2002, New Orleans, Los Angeles, USA: IEEE.
- Bystrov A, Yakovlev A. Synthesis of Asynchronous Circuits with Predictable Latency. In: 11th IEEE/ACM International Workshop on Logic and Synthesis (IWLS 2002). 2002, New Orleans, Louisiana, USA: IEEE Computer Society & ACM SIGDA.
- Abas MA, Bystrov A, Kinniment DJ, Maevsky OV, Russell G, Yakovlev AV. Time difference amplifier. Electronics Letters 2002, 38(23), 1437-1438.
- Sokolov D, Bystrov A, Yakovlev A. Tools for STG optimisation in the direct mapping of asynchronous circuits. In: Special Interest Group on Design Automation (SIGDA). 2002.
- Yakovlev A, Xia F. Towards synthesis of asynchronous communication mechanisms. In: B. Caillaud, P. Darondeau, L. Lavagno and X. Xie, ed. Synthesis and Control of Discrete Event Systems. London: Kluwer Academic Publishers, 2002, pp.57-75.
- Madalinski A, Bystrov A, Yakovlev A. Visualisation of Coding Conflicts in Asynchronous Circuit Design. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2002. Department of Computing Science Technical Report Series 768.
- Bystrov A, Yakovlev A, Koutny MS. Visualisation of Partial Order Models in VLSI Design Flow. In: Design, Automation and Test in Europe Conference and Exposition (DATE 2002). 2002, Paris, France: IEEE Computer Society.
- Maevsky O, Kinniment DJ, Yakovlev A, Bystrov A. Analysis of the Oscillation Problem in Tri-Flops. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 747.
- Bystrov A, Yakovlev A. Asynchronous Circuit Synthesis by Direct Mapping: Interfacing to Environment. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 743.
- Khomenko V, Koutny M, Yakovlev A. Detecting State Coding Conflicts in STGs Using Integer Programming. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 736.
- Burns A, Wellings AJ, Burns F, Koelmans AM, Koutny M, Romanovsky A, Yakovlev A. Modelling and verification of an atomic action protocol implemented in Ada. In: 21st International Workshop on Software Engineering and Petri Nets (SEPN). 2001, Aarhus, Denmark: CRL Publishing.
- Burns A, Wellings AJ, Koelmans AM, Koutny M, Romanovsky A, Yakovlev A. On Developing and Verifying Design Abstractions for Reliable Concurrent Programming in Ada. ACM SIGAda Ada Letters 2001, 21(1), 48-55.
- Kinniment DJ, Maevsky OV, Bystrov A, Russell G, Yakovlev A. On-Chip structures for Timing Measurement and Test. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 750.
- Yakovlev A, Xia F, Shang D. Synthesis and implementation of a signal-type asynchronous data communication mechanism. In: 7th International Symposium on Asynchronous Circuits and Systems (ASYNC 2001). 2001, Salt Lake City, Utah: IEEE Computer Society.
- Bystrov A, Yakovlev A. Synthesis of Asynchronous Circuits with Predictable Latency. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 754.
- Burns F, Shang D, Koelmans A, Yakovlev A. Translating from asynchronous FSM specifications in VHDL to Petri Nets. In: 11th UK Asynchronous Forum. 2001, Cambridge, UK.
- Bystrov A, Koutny M, Yakovlev A. Visualisation of Partial Order Models in VLSI Design Flow. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2001. Department of Computing Science Technical Report Series 744.
- Burns F, Koelmans A, Yakovlev A. WCET Analysis of Superscalar Processors Using simulation with Coloured Petri Nets. The International Journal of Time-Critical Computing Systems 2001, 18(2/3), 267-280.
- Xia F, Yakovlev A, Shang D, Bystrov A, Koelmans A, Kinniment D. Asynchronous communication mechanisms using self-timed circuits. In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000). 2000, Eliat, Israel: IEEE Computer Society.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. Hardware and Petri nets: Application to asynchronous circuit design. In: Application and Theory of Petri Nets 2000: 21st International Conference, ICATPN 2000. 2000, Aarhus, Denmark: Springer.
- Yakovlev A, Gomes L, Lavagno L, ed. Hardware Design and Petri Nets. United Kingdom: Springer, 2000.
- Bystrov A, Kinniment D, Yakovlev A. Priority arbiters. In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000). 2000, Eliat, Israel: IEEE Computer Society.
- Yakovlev A, Nouta R, ed. Proceedings AINT'2000: Asynchronous Interfaces: tools, techniques, and implementations, TU Delft, The Netherlands, 19-20th July 2000. Newcastle-upon-tyne: University of Newcastle upon Tyne, Department of Economics, 2000.
- Madalinski A, Xia F, Yakovlev A. Relative Data Freshness of Asynchronous Communication Mechanisms. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2000. Department of Computing Science Technical Report Series 709.
- Starodoubtsev N, Bystrov A, Yakovlev A. Semi-modular latch chains for asynchronous circuit design. Lecture Notes in Computer Science: Integrated Circuit Design 2000, 1918, 168-177.
- Madalinski A, Bystrov A, Yakovlev A. Statistical Fairness of Ordered Arbiters. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2000. Department of Computing Science Technical Report Series 703.
- Kinniment D, Yakovlev A, Gao B. Synchronous and asynchronous A-D conversion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2000, 8(2), 217-220.
- Yakovlev A, Xia F, Shang D. Synthesis of a Signal-type Asynchronous Data Communication Mechanism and its Hardware Implementation. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2000. Department of Computing Science Technical Report Series 720.
- Burns A, Wellings AJ, Burns F, Koelmans AM, Koutny M, Romanovsky A, Yakovlev A. Towards Modelling and Verification of Concurrent Ada Programs Using Petri Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 2000. Department of Computing Science Technical Report Series 700.
- Burns F, Koelmans A, Yakovlev A. WCET analysis of superscalar processors using simulation with Coloured Petri Nets. Real-Time Systems 2000, 18(2-3), 275-288.
- Xia F, Yakovlev A, Bystrov A, Koelmans AM, Kinniment DJ, Shang D. An Asynchronous Communication Mechanism Using Self-timed Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1999. Department of Computing Science Technical Report Series 686.
- Lloyd L, Heron K, Koelmans AM, Yakovlev AV. Asynchronous microprocessors: From high level model to FPGA implementation. Journal of Systems Architecture 1999, 45(12-13), 975-1000.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. Automatic Synthesis and Optimization of Partially Specified Asynchronous Systems. In: 36th Annual ACM/IEEE Conference on Design Automation (DAC '99). 1999, New Orleans, Louisiana: ACM Press, New York.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Pastor E, Yakovlev A. Decomposition and Technology Mapping of Speed-independent Circuits Using Boolean Relations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1999, 18(9), 1221-1236.
- Yakovlev A, Kinniment DJ, Xia F. FIFO Buffer with Real-Time Interface. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1999. Department of Computing Science Technical Report Series 649.
- Yakovlev A, Lavagno L, ed. International Conferences on Applications and Theory of Petri Nets (ICATPN) '99 Workshop on Hardware Design and Petri Nets (HWPN'99), Williamstown, VA, USA. Kluwer Academic, 1999.
- Kondratyev A, Cortadella J, Kishinevsky M, Lavagno L, Yakovlev A. Logic decomposition of speed-independent circuits. Proceedings of the IEEE 1999, 87(2), 347-362.
- Kinniment DJ, Yakovlev AV. Low power, low noise micropipelined flash A-D converter. IEE Proceedings: Circuits, Devices and Systems 1999, 146(5), 263-267.
- Bystrov A, Yakovlev A. Ordered arbiters. Electronics Letters 1999, 35(11), 877-879.
- Bystrov A, Kinniment DJ, Yakovlev A. Priority Arbiters. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1999. Department of Computing Science Technical Report Series 687.
- Saito H, Kondratyev A, Cortadella J, Lavagno L, Yakovlev A. What is the Cost of Delay-Insensitivity?. In: 1999 IEEE/ACM International Conference on Computer-Aided Design (ICCAD '99). 1999, San Jose, California, USA: IEEE Computer Society Press.
- Yakovlev A, Cortadella J, Kishinevsky M, Lavagno L. Deriving Petri Nets from Finite Transition Systems. IEEE Transactions on Computers, 1.96388888888889, 859-882 1998.
- Burns FP, Koelmans AM, Yakovlev A. Analysing Superscalar Processor Architectures with Coloured Petri Nets. International Journal on Software Tools for Technology Transfer 1998, 2(2), 182-191.
- Cortadella J, Kishinevsky M, Lavagno L, Yakovlev A. Deriving Petri Nets from finite transition systems. IEEE Transactions on Computers 1998, 47(8), 859-882.
- Yakovlev A. Designing Control Logic for Counterflow Pipeline Processor Using Petri Nets. Formal Methods in System Design 1998, 12(1), 39-71.
- Lloyd L, Yakovlev A, Pastor E, Koelmans AM. Estimations of Power Consumption in Asynchronous Logic as Derived from Graph Based Circuit Representations. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1998. Department of Computing Science Technical Report Series 643.
- Kondratyev A, Kishinevsky M, Yakovlev A. Hazard-free implementation of speed-independent circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1998, 17(9), 749-771.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Taubin A, Yakovlev A. Lazy Transition Systems: Application to Timing Optimization of Asynchronous Circuits. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1998, San Jose, USA: IEEE Computer Society Press.
- Xia F, Yakovlev A. Overview of Modelling and Analysis Techniques for Arbiters and Related Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1998. Department of Computing Science Technical Report Series 626.
- Clark IG, Xia F, Yakovlev A, Davies AC. Petri net models of latch metastability. Electronics Letters 1998, 34(7), 635-636.
- Xia F, Clark IG, Yakovlev A, Davies AC. Petri Net Models of Metastable Operations in Latch Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1998. Department of Computing Science Technical Report Series 627.
- Yakovlev AV, Koelmans AM. Petri Nets and Digital Hardware Design. In: Reisig, W., Rozenberg, G, ed. Lectures on Petri Nets II: Applications, Advances in Petri Nets. Berlin; New York: Springer-Verlag, 1998, pp.154-236.
- Lloyd L, Heron K, Koelmans AM, Yakovlev A. Rapid Design of Asynchronous Logic Using Reconfigurable Architectures. In: International Conference on Microelectronics and Packaging (ICMP). 1998, Curitiba, Brazil.
- Mitrani I, Yakovlev A. Tree Arbiter with Nearest-Neighbour Scheduling. In: 13th International Symposium on Computer and Information Sciences: Advances in Computer and Information Sciences (ISCIS). 1998, Ankara, Turkey: IOS Press.
- Yakovlev A. Unfolding and Finite Prefix for Nets with Read Arcs. University of Newcastle upon Tyne: School of Computing Science, 1998. Technical Report Series CS-TR-634.
- Vogler W, Semenov A, Yakovlev A. Unfolding and Finite Prefix for Nets with Read Arcs. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1998. Department of Computing Science Technical Report Series 634.
- Lloyd L, Yakovlev A, Koelmans AM. A 2-Phase Asynchronous Event Driven Buffer with Completion Detection Signalling. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 573.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. A Region-Based Theory for State Assignment in Speed-independent Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1997, 16(8), 793-812.
- Lloyd L, Heron K, Koelmans AM, Yakovlev A. Asynchronous Microprocessors: From High Level Model to FPGA Implementation. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 610.
- Semenov A, Yakovlev A. Contextual Net Unfolding and Asynchronous System Verification. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 572.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Pastor E, Yakovlev A. Decomposition and Technology Mapping of Speed-Independent Circuits Using Boolean Relations. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1997, San Jose, CA, USA: IEEE Computer Society Press.
- Carrion C, Yakovlev A. Design and Evaluation of Two Asynchronous Token Ring Adapters. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 562.
- Semenov A, Koelmans AM, Lloyd L, Yakovlev A. Designing an asynchronous processor using petri nets. IEEE Micro 1997, 17(2), 54-63.
- Kondratyev A, Cortadella J, Kishinevsky M, Lavagno L, Taubin A, Yakovlev A. Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 614.
- Kinniment DJ, Yakovlev A, Gao B. MetaStable Behaviour in Arbiter Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 604.
- Semenov A, Yakovlev A, Pastor E, Pena MA, Cortadella J, Lavagno L. Partial Order Based Approach to Synthesis of Speed-Independent Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 566.
- Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Yakovlev A. Petrify: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers. IEICE Transactions on Information and Systems 1997, E80-D(3), 315-325.
- Semenov A, Yakovlev A, Pastor E, Pena MA, Cortadella J. Synthesis of Speed Independent Circuits from STG-unfolding Segment. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 565.
- Semenov A, Yakovlev A, Pastor E. Synthesis of Speed-Independent Circuits from STG-Unfolding Segment. In: 34th Annual ACM/IEEE Conference on Design Automation. 1997, Anaheim, California, USA: ACM Press, New York.
- Kinniment DJ, Gao B, Yakovlev A, Xia F. Towards Asynchronous A-D Conversion. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 615.
- Mitrani I, Yakovlev A. Tree Arbiter With Nearest-Neighbour Scheduling. In: 13th UK Workshop on Performance Engineering of Computer and Telecommunication Systems (UKPEW). 1997, Ilkley, West Yorkshire, UK: UK Performance Engineering Workshop/Edinburgh University Press.
- Mitrani I, Yakovlev A. Tree Arbiter With Nearest-Neighbour Scheduling. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1997. Department of Computing Science Technical Report Series 563.
- Yakovlev A, Lavagno L, Sangiovanni-Vincentelli A. A unified signal transition graph model for asynchronous control circuit synthesis. Formal Methods in System Design 1996, 9(3), 139-188.
- Yakovlev AV, Koelmans AM, Semenov A, Kinniment DJ. Modelling, analysis and synthesis of asynchronous control circuits using Petri nets. Integration: the VLSI journal 1996, 21(3), 143-170.
- Yakovlev A, Kishinevsky M, Kondratyev A, Lavagno L, Pietkiewicz-Koutny M. On the models for asynchronous circuit behaviour with OR causality. Formal Methods in System Design 1996, 9(3), 189-233.
- Yakovlev A, Semenov A, Koelmans AM, Kinniment DJ. Petri nets and asynchronous circuit design. IEE Colloquium (Digest) 1996, (40), 8/1-8/6.
- Cortadella J, Kondratyev A, Kishinevsky M, Lavagno L, Yakovlev A. Petrify: A Tool for Manipulating Concurrent Specifications and Synthesis of Asynchronous Controllers. In: 11th Conference on Design of Integrated Circuits and Systems (DCIS). 1996, Barcelona, Spain.
- Starodoubstev NA, Yakovlev A, Petrov AI. Use of VHDL-based Environment for Interactive Synthesis of Asynchronous Circuits. In: VHDL Forum in Europe Spring Working Conference. 1996, Dresden, Germany.
- Semenov A, Yakovlev A. Verification of Asynchronous Circuits using Time Petri Net Unfolding. In: 33rd Annual ACM/IEEE Conference on Design Automation (DAC). 1996, Las Vegas, Nevada, USA: ACM Press, New York.
- Semenov A, Yakovlev A. Combining Partial Orders and Symbolic Traversal for Efficient Verification of Asynchronous Circuits. In: IFIP TC10 WG10.5 International Conference on Hardware Description Languages and Their Applications (CHDL). 1995, Makuhari, Chiba, Japan: IEEE Press.
- Semenov A, Yakovlev A. Combining Partial Orders and Symbolic Traversal for Efficient Verification of Asynchronous Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 501.
- Yakovlev A, Varshavsky V, Marakhovsky V, Semenov A. Designing An Asynchronous Pipeline Token Ring Interface. In: 2nd IEEE Working Conference on Asynchronous Design Methdologies (ASYNC). 1995, London, UK: IEEE Computer Society Press.
- Semenov A, Koelmans AM, Lloyd L, Yakovlev A. Designing an Asynchronous Processor Using Petri-Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 539.
- Yakovlev A. Designing Control Logic for Counterflow Pipeline Processor Using Petri Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 522.
- Yakovlev A, Koelmans AM, Lavagno L. High Level Modelling and Design of Asynchronous Interface Logic. IEEE Design and Test of Computers (Special Issue on Asynchronous Logic Design) 1995, 12(1), 32-40.
- Yakovlev A, Koelmans AM, Semenov A, Kinniment DJ. Modelling, Analysis and Synthesis of Asynchronous Control Circuits Using Petri Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 514.
- Pietkiewicz-Koutny M, Yakovlev A. Non-Pure Nets and Their Transition Systems. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 528.
- Kondratyev A, Kishinevsky M, Yakovlev A. On Hazard-Free Implementation of Speed-Independent Circuits. In: Asia and South Pacific Design Automation Conference (ASP-DAC). 1995, Makahuri, Chiba, Japan: IEEE Press.
- Koelmans AM, Yakovlev A, Kinniment DJ, Xu Y. PNIF: An Interchange Format for System Specification with Coloured Petri Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 538.
- Semenov A, Yakovlev A, Anisimov N. Specification and Verification of a Self-Timed Token Ring Protocol. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 516.
- Low KS, Yakovlev A. Token Ring Arbiters: An Exercise in Asynchronous Logic Design with Petri-Nets. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 537.
- Starodoubstev NA, Yakovlev A, Petrov SY. Use of VHDL Enviroment for Interactive Synthesis of Asynchronous Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1995. Department of Computing Science Technical Report Series 540.
- Yakovlev A, Petrov AI, Lavagno L. A Low Latency Asynchronous Arbitration Circuit. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 1994, 2(3), 372-377.
- Yakovlev A, Kondratyev A, Kishinevsky M. Basic Gate Implementation of Speed-Independent Circuits. In: 31st Annual ACM/IEEE Conference on Design Automation (DAC '94), San Diego, CA, USA, 6-10 June 1994. 1994, San Diego, CA: ACM Press, New York.
- Semenov A, Yakovlev A. Event-based Framework for Verifying High-level Models of Asynchronous Circuits. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1994. Department of Computing Science Technical Report Series 487.
- Yakovlev A, Kishinevsky M, Kondratyev A, Lavagno L. OR Causality: Modelling and Hardware Implementation. In: 15th International Conference on Application and Theory of Petri Nets (APN 1994). 1994, Zaragoza, Spain: Springer-Verlag.
- Yakovlev A, Koelmans AM, Lavagno L. High Level Modelling and Design of Asynchronous Interface Logic. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1993. Department of Computing Science Technical Report Series 460.
- Yakovlev A, Petrov AI, Lavagno L. High Speed Asynchronous Arbiter. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1993. Department of Computing Science Technical Report Series 427.
- Pulkkis G, Yakovlev A, Petrov A. Interfacing Transputers to Analog Environments in Real-Time Systems. In: 3rd Nordic Transputer Conference. 1993, Copenhagen, Denmark: Nordic Transputer User Group.
- Yakovlev A, Kishinevsky M, Kondratyev A, Lavagno L. On the Models for Asynchronous Circuit Behaviour with OR Causality. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1993. Department of Computing Science Technical Report Series 463.
- Yakovlev A. Structural Technique for Fault-masking in Asynchronous Interfaces. IEE Proceedings E - Computers and Digital Techniques 1993, 140(2), 81-91.
- Yakovlev A, Petrov A, Rosenblum L. Synthesis of Asynchronous Control Circuits from Symbolic Signal Transition Graphs. In: IFIP WG10.5 Working Conference on Asynchronous Design Methodologies. 1993, Manchester, UK: Elsevier Science (North-Holland).
- Yakovlev A. Synthesis of Hazard-Free Asynchronous Circuits from Generalised Signal-Transition Graphs. In: 6th IEEE International Conference on VLSI Design: Chip, Board and System Design in the 90s (VLSI Design '93). 1993, Bombay, India: IEEE Computer Society Press.
- Yakovlev A, Lavagno L, Sangiovanni-Vincentelli A. A Unified Signal Transition Graph Model for Asynchronous Control Circuit Synthesis. In: 1992 IEEE/ACM International Conference on Computer-Aided Design (ICCAD '92). 1992, Santa Clara, California, USA: IEEE Computer Society Press.
- Yakovlev A. On Limitations and Extensions of Signal Transition Graph Model for Designing Asynchronous Control Circuits. In: IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD). 1992, Cambridge, Massachusetts, USA: IEEE Computer Society Press.
- Yakovlev A. On Limitations and Extensions of Signal Transition Graph Model for Designing Asynchronous Control Circuits. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1992. Computing Laboratory Technical Report Series 374.
- Yakovlev A, Petrov AI. Symbolic Signal Transition Graphs and Asynchronous Circuit Design. Newcastle upon Tyne: Department of Computing Science, University of Newcastle upon Tyne, 1992. Department of Computing Science Technical Report Series 395.
- Yakovlev A. Synthesis of Hazard-Free Asynchronous Circuits from Generalised Signal-Transition Graphs. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1992. Computing Laboratory Technical Report Series 377.
- Koelmans AM, Yakovlev A, Kinniment DJ. System-level Design Based on Transformational Synthesis: Problems and Options. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1992. Computing Laboratory Technical Report Series 371.
- Yakovlev A. A Structural Technique for Fault-Protection in Asynchronous Interfaces. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1991. Computing Laboratory Technical Report Series 362.
- Yakovlev A, Petrov A. Petri Nets and Parallel Bus Controller Design. In: 11th International Conference on Applications and Theory of Petri Nets (APN 1990), Paris, France. 1991, Springer-Verlag.
- Yakovlev A. A Relation-based Approach to Analysing Semantics of Asynchronous Hardware Specifications. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1989. Computing Laboratory Technical Report Series 286.
- Yakovlev A, Rosenblum L. Analysing Semantics of Concurrent Hardware Specifications. In: International Conference on Parallel Processing (ICPP '89). 1989, University Park, PA, USA: Pennstate University Press.
- Yakovlev A. Concurrency Models for Designing Interface Logic in Distributed Systems. Newcastle upon Tyne: Computing Laboratory, University of Newcastle upon Tyne, 1989. Computing Laboratory Technical Report Series 285.
- Kondratyev AYu, Rosenblum LYa, Yakovlev AV. Signal graphs: a model for designing concurrent logic. In: International Conference on Parallel Processing (ICPP). 1988, University Park, PA: Pennstate University Press.
- Yakovlev A. Designing Self-Timed Systems. VLSI Systems Design 1985, VI(9), 70-90.
- Rosenblum LYa, Yakovlev AV. Signal Graphs: from Self-Timed to Timed Ones. In: International Workshop on Timed Petri nets. 1985, Turin, Italy: IEEE Computer Society Press.